OpenCores
URL https://opencores.org/ocsvn/motion_estimation_processor/motion_estimation_processor/trunk

Subversion Repositories motion_estimation_processor

[/] [motion_estimation_processor/] [trunk/] [src_me/] [macroblock_data0.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eejlny
----------------------------------------------------------------------------
2
--  This file is a part of the LM VHDL IP LIBRARY
3
--  Copyright (C) 2009 Jose Nunez-Yanez
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  See the file COPYING for the full details of the license.
11
--
12
--  The license allows free and unlimited use of the library and tools for research and education purposes. 
13
--  The full LM core supports many more advanced motion estimation features and it is available under a 
14
--  low-cost commercial license. See the readme file to learn more or contact us at 
15
--  eejlny@byacom.co.uk or www.byacom.co.uk
16
------------------------------------------------------------------------------
17
-- Entity:      
18
-- File:        macroblock_data.vhd
19
-- Author:      Jose Nunez-Yanez 
20
-- Description: macroblock data 5x5 macroblocks 
21
------------------------------------------------------------------------------
22
 
23
 
24
library IEEE;
25
use IEEE.std_logic_1164.all;
26
use IEEE.Numeric_STD.all;
27
 
28
entity macroblock_data0 is
29
    port(
30
      clk : in std_logic;
31
      reset : in std_logic;
32
      clear : in std_logic;
33
      addr : in std_logic_vector (4 downto 0);
34
      data : out std_logic_vector (63 downto 0)
35
      );
36
end;
37
 
38
 
39
architecture rtl of macroblock_data0 is
40
 
41
signal data_int: std_logic_vector(63 downto 0);
42
 
43
subtype word is integer range 0 to 255;
44
type mem is array (0 to 255) of word;
45
 
46
signal memory : mem := (
47
16#51#,16#4E#,16#51#,16#56#,16#5A#,16#50#,16#4B#,16#4A#,16#41#,16#3C#,16#41#,16#49#,16#4C#,16#4D#,16#49#,16#3F#,
48
16#52#,16#56#,16#58#,16#56#,16#55#,16#4A#,16#43#,16#49#,16#48#,16#45#,16#47#,16#3F#,16#3E#,16#44#,16#49#,16#4A#,
49
16#4C#,16#54#,16#53#,16#4F#,16#53#,16#52#,16#43#,16#43#,16#47#,16#46#,16#48#,16#43#,16#41#,16#41#,16#43#,16#4A#,
50
16#40#,16#4F#,16#56#,16#4E#,16#47#,16#4D#,16#46#,16#3D#,16#41#,16#42#,16#44#,16#4A#,16#49#,16#44#,16#3E#,16#3E#,
51
16#3E#,16#47#,16#51#,16#55#,16#44#,16#40#,16#43#,16#3F#,16#44#,16#43#,16#42#,16#41#,16#43#,16#46#,16#43#,16#40#,
52
16#42#,16#42#,16#41#,16#4F#,16#4F#,16#44#,16#41#,16#44#,16#48#,16#48#,16#46#,16#43#,16#42#,16#44#,16#44#,16#45#,
53
16#45#,16#49#,16#3F#,16#41#,16#4B#,16#44#,16#3E#,16#42#,16#45#,16#47#,16#48#,16#46#,16#44#,16#42#,16#40#,16#40#,
54
16#44#,16#4B#,16#44#,16#3C#,16#41#,16#43#,16#3B#,16#3E#,16#3F#,16#3F#,16#3F#,16#40#,16#42#,16#44#,16#42#,16#40#,
55
16#43#,16#4A#,16#48#,16#41#,16#43#,16#46#,16#3F#,16#43#,16#46#,16#45#,16#43#,16#42#,16#43#,16#45#,16#44#,16#44#,
56
16#42#,16#49#,16#48#,16#42#,16#46#,16#4A#,16#48#,16#49#,16#4A#,16#48#,16#49#,16#49#,16#48#,16#47#,16#47#,16#47#,
57
16#43#,16#48#,16#48#,16#40#,16#40#,16#44#,16#43#,16#41#,16#41#,16#3F#,16#3F#,16#41#,16#40#,16#41#,16#42#,16#42#,
58
16#42#,16#48#,16#49#,16#41#,16#40#,16#44#,16#44#,16#42#,16#42#,16#42#,16#41#,16#40#,16#3E#,16#3F#,16#3E#,16#3B#,
59
16#3F#,16#46#,16#48#,16#43#,16#42#,16#42#,16#40#,16#42#,16#45#,16#48#,16#48#,16#47#,16#46#,16#44#,16#42#,16#3C#,
60
16#3B#,16#45#,16#4A#,16#45#,16#3B#,16#3D#,16#3E#,16#3F#,16#45#,16#47#,16#48#,16#46#,16#42#,16#40#,16#42#,16#45#,
61
16#36#,16#44#,16#4C#,16#41#,16#2D#,16#45#,16#53#,16#4C#,16#48#,16#3E#,16#3C#,16#40#,16#3F#,16#41#,16#45#,16#49#,
62
16#37#,16#43#,16#4E#,16#3B#,16#6A#,16#CC#,16#D5#,16#A5#,16#68#,16#45#,16#3F#,16#43#,16#47#,16#4E#,16#4B#,16#2D#
63
);
64
 
65
--attribute syn_romstyle : string;
66
--attribute syn_romstyle of memory : signal is "logic";
67
 
68
 
69
begin
70
 
71
  p : process(addr)
72
        variable vaddr1 : integer range 0 to 255;
73
        variable vaddr2 : integer range 0 to 255;
74
        variable vaddr3 : integer range 0 to 255;
75
        variable vaddr4 : integer range 0 to 255;
76
        variable vaddr5 : integer range 0 to 255;
77
        variable vaddr6 : integer range 0 to 255;
78
        variable vaddr7 : integer range 0 to 255;
79
        variable vaddr8 : integer range 0 to 255;
80
        begin
81
                        vaddr1 := To_integer(unsigned(addr&"000"));
82
                        vaddr2 := To_integer(unsigned(addr&"001"));
83
                        vaddr3 := To_integer(unsigned(addr&"010"));
84
                        vaddr4 := To_integer(unsigned(addr&"011"));
85
                        vaddr5 := To_integer(unsigned(addr&"100"));
86
                        vaddr6 := To_integer(unsigned(addr&"101"));
87
                        vaddr7 := To_integer(unsigned(addr&"110"));
88
                        vaddr8 := To_integer(unsigned(addr&"111"));
89
                        data_int <= (std_logic_vector(to_unsigned(memory(vaddr1),8)) &  std_logic_vector(to_unsigned(memory(vaddr2),8)) &  std_logic_vector(to_unsigned(memory(vaddr3),8)) & std_logic_vector(to_unsigned(memory(vaddr4),8)) & std_logic_vector(to_unsigned(memory(vaddr5),8)) & std_logic_vector(to_unsigned(memory(vaddr6),8)) & std_logic_vector(to_unsigned(memory(vaddr7),8)) & std_logic_vector(to_unsigned(memory(vaddr8),8)));
90
                --      data_int(23 downto 16) <= std_logic_vector(to_unsigned(memory(vaddr2),8));
91
                --      data_int(15 downto 8) <= std_logic_vector(to_unsigned(memory(vaddr3),8));
92
                --      data_int(7 downto 0) <= std_logic_vector(to_unsigned(memory(vaddr4),8));
93
  end process;
94
 
95
 
96
  ff: process(clear,clk)
97
  begin
98
        if (clear = '1') then
99
                        data <= (others => '0');
100
        elsif rising_edge(clk) then
101
                        if (reset = '1') then
102
                             data <= (others => '0');
103
                        else
104
                             data <= data_int;
105
                        end if;
106
        end if;
107
  end process;
108
 
109
 
110
 
111
 
112
 
113
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.