OpenCores
URL https://opencores.org/ocsvn/motion_estimation_processor/motion_estimation_processor/trunk

Subversion Repositories motion_estimation_processor

[/] [motion_estimation_processor/] [trunk/] [src_me/] [macroblock_data7.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eejlny
----------------------------------------------------------------------------
2
--  This file is a part of the LM VHDL IP LIBRARY
3
--  Copyright (C) 2009 Jose Nunez-Yanez
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  See the file COPYING for the full details of the license.
11
--
12
--  The license allows free and unlimited use of the library and tools for research and education purposes. 
13
--  The full LM core supports many more advanced motion estimation features and it is available under a 
14
--  low-cost commercial license. See the readme file to learn more or contact us at 
15
--  eejlny@byacom.co.uk or www.byacom.co.uk
16
-----------------------------------------------------------------------------
17
-- Entity:      
18
-- File:        macroblock_data.vhd
19
-- Author:      Jose Luis Nunez 
20
-- Description: macroblock data 5x5 macroblocks 
21
------------------------------------------------------------------------------
22
 
23
 
24
library IEEE;
25
use IEEE.std_logic_1164.all;
26
use IEEE.Numeric_STD.all;
27
 
28
entity macroblock_data7 is
29
    port(
30
      clk : in std_logic;
31
      reset : in std_logic;
32
      clear : in std_logic;
33
      addr : in std_logic_vector (4 downto 0);
34
      data : out std_logic_vector (63 downto 0)
35
      );
36
end;
37
 
38
 
39
architecture rtl of macroblock_data7 is
40
 
41
signal data_int: std_logic_vector(63 downto 0);
42
 
43
subtype word is integer range 0 to 255;
44
type mem is array (0 to 255) of word;
45
 
46
signal memory : mem := (
47
16#F3#,16#C9#,16#AD#,16#A8#,16#AF#,16#AE#,16#A5#,16#BC#,16#AC#,16#33#,16#84#,16#DE#,16#9C#,16#59#,16#34#,16#16#,
48
16#C3#,16#E1#,16#AB#,16#A8#,16#AC#,16#AB#,16#AC#,16#B3#,16#61#,16#11#,16#80#,16#CC#,16#AF#,16#B1#,16#B9#,16#5F#,
49
16#5B#,16#D5#,16#BC#,16#A2#,16#AE#,16#A8#,16#B3#,16#A7#,16#36#,16#1A#,16#88#,16#B9#,16#AF#,16#C2#,16#DA#,16#BA#,
50
16#01#,16#84#,16#D1#,16#A7#,16#A5#,16#A7#,16#B3#,16#A5#,16#3F#,16#26#,16#8F#,16#C3#,16#BE#,16#B6#,16#A5#,16#B3#,
51
16#2B#,16#30#,16#BA#,16#BB#,16#9C#,16#A6#,16#B0#,16#A3#,16#3C#,16#38#,16#B3#,16#AA#,16#7B#,16#7C#,16#5F#,16#52#,
52
16#9F#,16#1C#,16#6A#,16#DB#,16#B7#,16#9A#,16#BF#,16#A9#,16#2B#,16#3B#,16#9C#,16#65#,16#2B#,16#34#,16#32#,16#39#,
53
16#B9#,16#32#,16#18#,16#AA#,16#D0#,16#AE#,16#B9#,16#8A#,16#1D#,16#24#,16#4A#,16#41#,16#42#,16#46#,16#4D#,16#53#,
54
16#4D#,16#4C#,16#1E#,16#29#,16#97#,16#BF#,16#7F#,16#3C#,16#2A#,16#46#,16#45#,16#4D#,16#60#,16#5E#,16#60#,16#60#,
55
16#28#,16#4B#,16#41#,16#10#,16#2F#,16#6D#,16#57#,16#28#,16#4D#,16#68#,16#5E#,16#5A#,16#58#,16#54#,16#56#,16#54#,
56
16#4D#,16#48#,16#44#,16#40#,16#19#,16#21#,16#37#,16#46#,16#73#,16#5D#,16#4F#,16#57#,16#55#,16#54#,16#54#,16#55#,
57
16#4A#,16#48#,16#41#,16#3D#,16#3F#,16#39#,16#2C#,16#3B#,16#56#,16#68#,16#60#,16#4E#,16#50#,16#54#,16#52#,16#55#,
58
16#48#,16#48#,16#41#,16#3D#,16#3C#,16#3D#,16#3E#,16#31#,16#2D#,16#56#,16#66#,16#62#,16#5B#,16#51#,16#52#,16#54#,
59
16#47#,16#46#,16#40#,16#3D#,16#3E#,16#3E#,16#3F#,16#39#,16#2E#,16#2A#,16#45#,16#62#,16#5F#,16#5B#,16#5C#,16#5B#,
60
16#48#,16#46#,16#40#,16#3D#,16#3D#,16#3D#,16#3C#,16#3D#,16#3C#,16#2D#,16#2C#,16#32#,16#43#,16#5C#,16#5D#,16#5A#,
61
16#48#,16#46#,16#40#,16#3E#,16#3D#,16#3C#,16#3D#,16#3B#,16#3C#,16#3F#,16#35#,16#28#,16#2E#,16#3B#,16#39#,16#39#,
62
16#48#,16#46#,16#40#,16#3E#,16#3C#,16#3A#,16#3C#,16#3C#,16#3A#,16#3A#,16#3D#,16#3F#,16#36#,16#2B#,16#28#,16#28#
63
);
64
 
65
--attribute syn_romstyle : string;
66
--attribute syn_romstyle of memory : signal is "logic";
67
 
68
 
69
begin
70
 
71
  p : process(addr)
72
        variable vaddr1 : integer range 0 to 255;
73
        variable vaddr2 : integer range 0 to 255;
74
        variable vaddr3 : integer range 0 to 255;
75
        variable vaddr4 : integer range 0 to 255;
76
        variable vaddr5 : integer range 0 to 255;
77
        variable vaddr6 : integer range 0 to 255;
78
        variable vaddr7 : integer range 0 to 255;
79
        variable vaddr8 : integer range 0 to 255;
80
        begin
81
                        vaddr1 := To_integer(unsigned(addr&"000"));
82
                        vaddr2 := To_integer(unsigned(addr&"001"));
83
                        vaddr3 := To_integer(unsigned(addr&"010"));
84
                        vaddr4 := To_integer(unsigned(addr&"011"));
85
                        vaddr5 := To_integer(unsigned(addr&"100"));
86
                        vaddr6 := To_integer(unsigned(addr&"101"));
87
                        vaddr7 := To_integer(unsigned(addr&"110"));
88
                        vaddr8 := To_integer(unsigned(addr&"111"));
89
                        data_int <= (std_logic_vector(to_unsigned(memory(vaddr1),8)) &  std_logic_vector(to_unsigned(memory(vaddr2),8)) &  std_logic_vector(to_unsigned(memory(vaddr3),8)) & std_logic_vector(to_unsigned(memory(vaddr4),8)) & std_logic_vector(to_unsigned(memory(vaddr5),8)) & std_logic_vector(to_unsigned(memory(vaddr6),8)) & std_logic_vector(to_unsigned(memory(vaddr7),8)) & std_logic_vector(to_unsigned(memory(vaddr8),8)));
90
                --      data_int(23 downto 16) <= std_logic_vector(to_unsigned(memory(vaddr2),8));
91
                --      data_int(15 downto 8) <= std_logic_vector(to_unsigned(memory(vaddr3),8));
92
                --      data_int(7 downto 0) <= std_logic_vector(to_unsigned(memory(vaddr4),8));
93
  end process;
94
 
95
 
96
  ff: process(clear,clk)
97
  begin
98
        if (clear = '1') then
99
                        data <= (others => '0');
100
        elsif rising_edge(clk) then
101
                        if (reset = '1') then
102
                             data <= (others => '0');
103
                        else
104
                             data <= data_int;
105
                        end if;
106
        end if;
107
  end process;
108
 
109
 
110
 
111
 
112
 
113
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.