OpenCores
URL https://opencores.org/ocsvn/motion_estimation_processor/motion_estimation_processor/trunk

Subversion Repositories motion_estimation_processor

[/] [motion_estimation_processor/] [trunk/] [src_me/] [me_engine.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 eejlny
----------------------------------------------------------------------------
2
--  This file is a part of the LM VHDL IP LIBRARY
3
--  Copyright (C) 2009 Jose Nunez-Yanez
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  See the file COPYING for the full details of the license.
11
--
12
--  The license allows free and unlimited use of the library and tools for research and education purposes. 
13
--  The full LM core supports many more advanced motion estimation features and it is available under a 
14
--  low-cost commercial license. See the readme file to learn more or contact us at 
15
--  eejlny@byacom.co.uk or www.byacom.co.uk
16
-----------------------------------------------------------------------------
17
-- File:        me_engine.vhd
18
-- Author:      Jose Luis Nunez-Yanez
19
-- Description: me entities
20
------------------------------------------------------------------------------
21
 
22
library ieee;
23
use ieee.std_logic_1164.all;
24
 
25
package me_engine is
26
 
27
component me_top
28
 port ( clk : in std_logic;
29
        clear : in std_logic;
30
        reset : in std_logic;
31
             register_file_address : in std_logic_vector(4 downto 0); -- 32 general purpose registers
32
        register_file_write : in std_logic;
33
             register_file_data_in : in std_logic_vector(31 downto 0);
34
             register_file_data_out : out std_logic_vector(31 downto 0);
35
             done_interrupt : out std_logic; -- high when macroblock processing has completed
36
             best_sad_debug : out std_logic_vector(15 downto 0); --debugging ports
37
             best_mv_debug : out std_logic_vector(15 downto 0);
38
                 best_eu_debug : out std_logic_vector(3 downto 0);
39
                 partition_mode_debug : out std_logic_vector(3 downto 0);
40
                 qp_on_debug : out std_logic;      --running qp
41
             dma_rm_re_debug : in std_logic; --set to one to enable reading the reference area
42
             dma_rm_debug : out std_logic_vector(63 downto 0); -- reference area data out
43
             dma_address : in std_logic_vector(10 downto 0); -- next reference memory address
44
        dma_data_in : in std_logic_vector(63 downto 0); -- pixel in for reference memory or macroblock memory
45
        dma_rm_we : in std_logic; --enable writing to reference memory
46
           dma_cm_we : in std_logic; --enable writing to current macroblock memory
47
           dma_pom_we : in std_logic; -- enable writing to point memory
48
           dma_prm_we : in std_logic;  -- enable writing to program memory
49
             dma_residue_out : out std_logic_vector(63 downto 0); -- get residue from winner mv
50
             dma_re_re : in std_logic -- enable reading residue
51
      );
52
end component;
53
 
54
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.