OpenCores
URL https://opencores.org/ocsvn/myblaze/myblaze/trunk

Subversion Repositories myblaze

[/] [myblaze/] [trunk/] [rtl/] [bram.py] - Blame information for rev 5

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 rockee
# -*- coding: utf-8 -*-
2
"""
3
    bram.py
4
    =======
5
 
6
    Block RAM
7
 
8 5 rockee
    :copyright: Copyright (c) 2010 Jian Luo
9
    :author-email: jian.luo.cn(at_)gmail.com
10
    :license: LGPL, see LICENSE for details
11 2 rockee
    :revision: $Id: bram.py 5 2010-11-21 10:59:30Z rockee $
12
"""
13
 
14
from myhdl import *
15
from defines import *
16
from functions import *
17
from debug import *
18
 
19
def BRAMInitial(ram, filename, clock):
20
    __verilog__ = """
21
    initial $readmemh("%(filename)s", %(ram)s);
22
    """
23
    __vhdl__ = """
24
    """
25
    @instance
26
    def initial():
27
        vals = open(filename).readlines()
28
        for i,v in enumerate(vals):
29
            ram[i].next = int(v, 16)
30
        yield clock.negedge
31
    return instances()
32
 
33
# XXX: Hacked to make $readmemh work
34
class RAM(list):
35
    # representation 
36
    def __str__(self):
37
        from myhdl._extractHierarchy import _memInfoMap
38
        if id(self) in _memInfoMap:
39
            return _memInfoMap[id(self)].name
40
        else:
41
            return list.__str__(self)
42
 
43
def BRAM(
44
        data_out,
45
        data_in,
46
        address,
47
        write,
48
        enable,
49
        clock,
50
        width=8,
51
        size=16,
52
        filename='',
53
        ):
54
    """
55
    Single Port Synchronous RAM with Old Data Read-During-Write Behavior
56
    """
57
    max = 2**size
58
    ram = RAM(Signal(intbv(0)[width:]) for i in range(max))
59
    #read_addr = Signal(intbv(0)[len(address):])
60
    if filename:
61
        init = BRAMInitial(ram, filename, clock)
62
 
63
    @always(clock.posedge)
64
    def logic():
65
        if enable:
66
            if write:
67
                ram[int(address)].next = data_in
68
            data_out.next = ram[int(address)%max]
69
            #read_addr.next = address
70
    #@always_comb
71
    #def output():
72
        #data_out.next = ram[int(read_addr)]
73
 
74
    return instances()
75
 
76
def BankedBRAM(
77
        data_out,
78
        data_in,
79
        address,
80
        write,
81
        enable,
82
        clock,
83
        width=32,
84
        bank_size=2,
85
        size=16,
86 5 rockee
        to_verilog=1,
87 2 rockee
        filename_pattern='',
88
        ):
89
    # XXX: Verilog just don't allow dynamic register slicing
90
    # have to fix ram shape to 4x8bit
91 5 rockee
    if to_verilog == 1:
92 2 rockee
        width=32
93
        bank_size=2
94
    bank_count = 2 ** bank_size
95
    bank_width = width/bank_count
96
    bank_in = [Signal(intbv(0)[bank_width:]) for i in range(bank_count)]
97
    bank_out = [Signal(intbv(0)[bank_width:]) for i in range(bank_count)]
98
    bank_wre = [Signal(False) for i in range(bank_count)]
99
    bank_addr = Signal(intbv(0)[len(address)-bank_size:])
100
    if filename_pattern:
101
        bank = [BRAM(data_out=bank_out[i], data_in=bank_in[i],
102
                     address=bank_addr, write=bank_wre[i],
103
                     enable=enable, clock=clock,
104
                     width=bank_width, size=size-bank_size,
105
                     filename=filename_pattern%i)
106
 
107
                    for i in range(bank_count)]
108
    else:
109
        bank = [BRAM(data_out=bank_out[i], data_in=bank_in[i],
110
                     address=bank_addr, write=bank_wre[i],
111
                     enable=enable, clock=clock,
112
                     width=bank_width, size=size-bank_size,)
113
 
114
                    for i in range(bank_count)]
115
 
116
 
117
    #@always(clock.posedge)
118
    #def debug():
119
        #if enable and address==0x17f4:
120
            #print 'XXXXXX 17f4',
121
            #if write:
122
                #print 'WRITE %x' % int(data_in)
123
            #else:
124
                #print 'READ %x' % int(data_out)
125
 
126 5 rockee
    if to_verilog == 1:
127 2 rockee
        @always_comb
128
        def dumbass_reassemble():
129
            bank_addr.next = address[:bank_size]
130
            for i in range(bank_count):
131
                bank_wre[i].next = write[i]
132
            #bank_in[3].next = data_in[8:]
133
            #bank_in[2].next = data_in[16:8]
134
            #bank_in[1].next = data_in[24:16]
135
            #bank_in[0].next = data_in[32:24]
136
            #data_out.next = concat(bank_out[0], bank_out[1],
137
                                   #bank_out[2], bank_out[3])
138
            bank_in[0].next = data_in[8:]
139
            bank_in[1].next = data_in[16:8]
140
            bank_in[2].next = data_in[24:16]
141
            bank_in[3].next = data_in[32:24]
142
            data_out.next = concat(bank_out[3], bank_out[2],
143
                                   bank_out[1], bank_out[0])
144
 
145
    #else:
146
        #@always_comb
147
        #def reassemble():
148
            #bank_addr.next = address[:bank_size]
149
            #tmp = intbv(0)[width:]
150
            #tmp_low = intbv(0)[width-bank_width:]
151
            #for i in range(bank_count):
152
                #bank_wre[i].next = write[i]
153
                #bank_in[i].next = data_in[(i+1)*bank_width:i*bank_width]
154
                #tmp_low[:] = tmp[:bank_width]
155
                #tmp[:] = concat(bank_out[i], tmp_low)
156
            #data_out.next = tmp
157
 
158
    return instances()
159
 
160
if __name__ == '__main__':
161
    data_out = Signal(intbv(0)[32:])
162
    data_in = Signal(intbv(0)[32:])
163
    address = Signal(intbv(0)[16:])
164
    write = Signal(intbv(0)[4:])
165
    bram_write = Signal(False)
166
    clock = Signal(False)
167
    enable = Signal(False)
168
    bram_kw = dict(
169
        func=BRAM,
170
        data_out=data_out,
171
        data_in=data_in,
172
        address=address,
173
        write=bram_write,
174
        enable=enable,
175
        clock=clock,
176
        width=32,
177
        size=8,
178
        filename='rom.vmem',
179
    )
180
    kw = dict(
181
        func=BankedBRAM,
182
        data_out=data_out,
183
        data_in=data_in,
184
        address=address,
185
        write=write,
186
        enable=enable,
187
        clock=clock,
188
        width=32,
189
        bank_size=2,
190
        size=8,
191
        filename='rom.vmem',
192
    )
193
    toVerilog(to_verilog=True, **kw)
194
    toVerilog(**bram_kw)
195
    toVHDL(**kw)
196
 
197
### EOF ###
198
# vim:smarttab:sts=4:ts=4:sw=4:et:ai:tw=80:
199
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.