OpenCores
URL https://opencores.org/ocsvn/myhdl_lfsr/myhdl_lfsr/trunk

Subversion Repositories myhdl_lfsr

[/] [myhdl_lfsr/] [trunk/] [sample_modules/] [VHDL/] [lfsr_768.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 raineys
-- File: generated/lfsr_768.vhd
2
-- Generated by MyHDL 0.9.0
3
-- Date: Thu Jan 11 17:29:05 2018
4
 
5
 
6
library IEEE;
7
use IEEE.std_logic_1164.all;
8
use IEEE.numeric_std.all;
9
use std.textio.all;
10
 
11
use work.pck_myhdl_090.all;
12
 
13
entity lfsr_768 is
14
    port (
15
        reset: in std_logic;
16
        clock: in std_logic;
17
        lfsr_out: out unsigned(767 downto 0)
18
    );
19
end entity lfsr_768;
20
 
21
 
22
architecture MyHDL of lfsr_768 is
23
 
24
 
25
 
26
 
27
 
28
signal reg_internal: unsigned(767 downto 0);
29
 
30
begin
31
 
32
 
33
 
34
 
35
 
36
LFSR_768_LFSR_LOGIC: process (clock, reset) is
37
begin
38
    if (reset = '1') then
39
        reg_internal <= unsigned'"000111010100001110100000101001100101100100010101001001010000110011010101001111011110101110111000011110011100101100001000100100101101111111000101101100111011100011110001101000100011110101010001111011010011101100011101100110101111100110111111001000001011110000001111010100010101001101011111101010110011001011100100111111001110001000101000001010000010111011000010011001110110110111011000110100101010011011010110101101111010010011110011011110100100010000000110010000010101011000011011110000000011011010110010001101001001111100001111110001001000010000000111011001010000110111000001010011111011011101010011110111000010101101001110010111010010011100100001110100101101000101011000011001010101110111010000001000010010101010111010000101001110000011010111010010010111101111111001";
40
    elsif rising_edge(clock) then
41
        if (reg_internal(0) = '1') then
42
            reg_internal <= (shift_right(reg_internal, 1) xor unsigned'("100010000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"));
43
        else
44
            reg_internal <= shift_right(reg_internal, 1);
45
        end if;
46
    end if;
47
end process LFSR_768_LFSR_LOGIC;
48
 
49
 
50
 
51
lfsr_out <= reg_internal;
52
 
53
end architecture MyHDL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.