OpenCores
URL https://opencores.org/ocsvn/mytwoqcache/mytwoqcache/trunk

Subversion Repositories mytwoqcache

[/] [mytwoqcache/] [trunk/] [2QCache.vhd] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 gerhardhoh
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    07:41:47 12/14/2010 
6
-- Design Name: 
7
-- Module Name:    Cache - Rtl 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE, work;
21
use IEEE.std_logic_1164.all;
22
use IEEE.std_logic_arith.all;
23
use work.global.all;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity Cache is
31
  generic( constant blocksizeld: integer := 11;
32
                          constant ldways: integer := 1;
33
                          constant ldCachedWords: integer := 2);
34
  port( nReset: in std_ulogic;                                          -- System reset active low
35
        Clock: in std_ulogic;                                           -- System Clock
36 10 gerhardhoh
                  AddressIn: in std_ulogic_vector(RAMrange'high + 1 downto 0);    -- Address of memory fetch
37 11 gerhardhoh
                  DataIn: in std_ulogic_vector( 31 downto 0);                     -- Data to write
38
             IOCode: in std_ulogic_vector(2 downto 0);                                           -- operation
39 10 gerhardhoh
                                                                                  -- Bit
40
                                                                                                                                                                                                --  2    0 read
41
                                                                                                                                                                                                --       1 write
42
                                                                                                                                                                                                -- 1 0   11 word
43
                                                                                                                                                                                                --       10 halfword
44
                                                                                                                                                                                                --       01 single byte
45 11 gerhardhoh
                                                                                                                                                                                                --       00 no operation
46
                  DataOut: out std_ulogic_vector( 31 downto 0);                   -- Data read
47 10 gerhardhoh
                  done: out std_ulogic;
48 11 gerhardhoh
                  -- memory interface
49
                  AddressOut: out std_ulogic_vector(RAMrange'high downto 0);        -- memory address
50
                  DataBlockIn: in std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0);   -- data from memory
51
                  reads: out std_ulogic;                                                      -- read memory
52
                  DataBlockOut: out std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0); -- data to memory
53
                  Mask: out std_ulogic_vector( 2 ** ldCachedWords * 4 - 1 downto 0);          -- enables for each byte active low
54 10 gerhardhoh
                  writes: out std_ulogic;                                                     -- write memory
55 11 gerhardhoh
                  ack: in std_ulogic                                                          -- acknowledge from memory
56
                );
57
end Cache;
58
 
59 10 gerhardhoh
architecture Rtl of Cache is
60
constant ways: integer := 2 ** ldways;
61
constant ldram: integer := blocksizeld + ldways - 1;
62
constant ldqueuelength: integer := ldram;
63
 
64 11 gerhardhoh
type IOType is ( Start, busy);
65
type tType is ( inittag, startt, startt1, tagtest, tagwait, stateget, stateget1, finish, finished);
66 15 gerhardhoh
type rType is ( raminit, ramstart, ramstart1, ramread, ramread1, ramupdate,
67 11 gerhardhoh
                ramupdate1, ramupdate2, ramupdate3, ramflush, ramflush1, ramwait, ramwait1, ramclean, ramclean1);
68
type fType is ( queuestart, queuewait, queuewaitAm1, queuewaitAm2, queuewaitA11, queuewaitA12, queueelim);
69
subtype myint is natural range 15 downto 0;
70
type TagRAMType is record
71
  cacheAddr: std_ulogic_vector( ldram - 1 downto 0);
72
  cacheValid: std_ulogic;
73
  Tag: std_ulogic_vector( RAMrange'high downto 2 + ldCachedWords + blocksizeld);
74
  TagValid: std_ulogic;
75 10 gerhardhoh
end record;
76
type WordType is record
77 11 gerhardhoh
  Word: std_ulogic_vector(31 downto 0);
78 10 gerhardhoh
  Modified: std_ulogic_vector( 3 downto 0);
79
end record;
80 11 gerhardhoh
type WordArray is array ( 2 ** ldCachedWords - 1 downto 0) of WordType;
81
type CacheType is record
82 10 gerhardhoh
  Words: WordArray;
83
  FiFoaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
84 11 gerhardhoh
  Am: std_ulogic;                                                        -- redifined and renamed
85
end record;
86 10 gerhardhoh
type FiFoType is record
87
  Word: std_ulogic_vector( blocksizeld - 1 downto 0);
88
  way: std_ulogic_vector( ldways downto 0);
89
  valid: std_ulogic;
90
end record;
91
 
92
type TagRAMarray is array ( ways - 1 downto 0) of TagRAMType;
93
type TagBuffer is array ( ways - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
94
type TagFile is array ( 2 ** blocksizeld - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
95
type TagFiles is array ( ways - 1 downto 0) of TagFile;
96
 
97
type RAMFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( 35 downto 0);
98
type RAMFiles is array ( 2 ** ldCachedWords - 1 downto 0) of RAMFile;
99
type RAMBuffer is array ( 2 ** ldCachedWords - 1 downto 0) of std_ulogic_vector( 35 downto 0);
100 11 gerhardhoh
type AFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldqueuelength downto 0); -- redimensioned
101 10 gerhardhoh
 
102
type myarrayf is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldram - 1 downto 0);
103
type myarrayA is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
104
 
105
signal RAMs: RAMFiles;
106
signal Ax: AFile;
107 11 gerhardhoh
signal tagRAM: TagFiles;
108 10 gerhardhoh
signal tagdummy, tagBuff, TagRAMIn, TagRAMOut: TagRAMarray;
109
signal RecBuff, CacheIn, CacheOut: CacheType;
110
signal blockIn, blockOut: WordArray;
111
signal DataInh: std_ulogic_vector( 31 downto 0);
112
signal A1In, A1Out, AmIn, AmOut: FiFoType;
113
signal putA1, removeA1, getA1, emptyA1, fullA1: std_ulogic;
114
signal putAm, removeAm, getAm, emptyAm, fullAm: std_ulogic;
115
signal A1Inaddr, A1Outaddr, AmInaddr, AmOutaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
116
signal emptyf, getf, putf: std_ulogic;
117 11 gerhardhoh
signal cindex, FreeOut, FreeIn: std_ulogic_vector( ldram - 1 downto 0);
118
signal ramf: myarrayf;
119
signal counterf: unsigned( ldram downto 0);
120 10 gerhardhoh
signal firstf, lastf: unsigned( ldram - 1 downto 0);
121
signal newFiFoAddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
122 11 gerhardhoh
signal newAm: std_ulogic;  -- redifined and renamed
123 10 gerhardhoh
signal initcount: unsigned( blocksizeld - 1 downto 0);
124
signal initcount1: unsigned( ldram - 1 downto 0);
125 11 gerhardhoh
signal ramA1: myarrayA;
126
signal counterA1: unsigned( ldqueuelength downto 0);
127
signal firstA1, lastA1: unsigned( ldqueuelength - 1 downto 0);
128
signal ramAm: myarrayA;
129
signal counterAm: unsigned( ldqueuelength downto 0);
130
signal firstAm, lastAm: unsigned( ldqueuelength - 1 downto 0);
131 10 gerhardhoh
 
132
signal AddressInh: std_ulogic_vector( AddressIn'high -1 downto 0);
133 11 gerhardhoh
signal IOCodeh: std_ulogic_vector( IOCode'range);
134
signal toFlush, AddressInt: std_ulogic_vector( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
135
signal found, free, elim, del: myint;
136 10 gerhardhoh
signal stateIO: IOType;
137
signal statetag: tType;
138
signal stateram: rType;
139
signal statequeue: fType;
140
signal enableram, enablequeue, queuedone, readsh, writesh, doneh, preempted,
141 13 gerhardhoh
       interrupt, readb, writeb, writec, writet, accdone, accqueue, accinterrupt, serviced, oldint: std_ulogic;
142 15 gerhardhoh
signal gal: std_ulogic_vector( 7 downto 0);
143 11 gerhardhoh
 
144
begin
145 10 gerhardhoh
 
146 11 gerhardhoh
 
147
 
148 10 gerhardhoh
  blockIO: process( nReset, Clock, readb, writeb) is
149
  variable s: std_ulogic;
150
  begin
151
    if nReset /= '1' then
152
           writesh <= '0';
153
                readsh <= '0';
154
                stateIO <= start;
155
    elsif rising_edge(Clock) then
156
           case stateIO is
157
                when start =>
158
                  if readb = '1' then
159
                         Mask <= ( others => '1');
160
                         readsh <= '1';
161
                    stateIO <= busy;
162
                  elsif writeb = '1' then
163
                    s := '0';
164
 
165
                    for i in blockOut'range loop
166
                      DataBlockOut( ( i + 1) * 32 - 1 downto i * 32) <= blockOut( i).word;
167
                           Mask( ( i + 1) * 4 - 1 downto i * 4) <= not blockOut( i).Modified;
168
                                s := s or blockOut( i).Modified(0) or blockOut( i).Modified(1) or
169
                                          blockOut( i).Modified(2) or blockOut( i).Modified(3);
170
                         end loop;
171
 
172
                         writesh <= s;
173
 
174
                         if s = '1' then
175
                      stateIO <= busy;
176
                         end if;
177
                  end if;
178
                when busy =>
179
                  if ack = '1' then
180
                    stateIO <= start;
181
 
182
                    if readsh = '1' then
183
                           for i in blockIn'range loop
184
                        blockIn( i).word <= DataBlockIn( ( i + 1) * 32 - 1 downto i * 32);
185
                                  blockIn( i).Modified <= ( others => '0');
186
                                end loop;
187
                    end if;
188
 
189
                    readsh <= '0';
190
                    writesh <= '0';
191
                  end if;
192
                end case;
193
         end if;
194
  end process blockIO;
195
 
196
  writes <= writesh;
197
  reads <= readsh;
198
 
199
  tagrams: process ( nReset, Clock) is
200
  variable a, b, d: myint;
201 11 gerhardhoh
  variable DataInTag, DataOutTag: TagBuffer;
202 10 gerhardhoh
  begin
203
  if rising_edge(Clock) then
204
    if nReset /= '1' then
205
           statetag <= inittag;
206
                writet <= '0';
207
                enableram <= '0';
208 13 gerhardhoh
           oldint <= '0';
209 10 gerhardhoh
                found <= 15;
210
                free <= 15;
211
                done <= '0'; -- NEW
212
                initcount <= ( others => '0');
213
                AddressInt <= ( others => '0');
214
                IOCodeh <= ( others => '0');
215
                AddressInh <= ( others => '0');
216 15 gerhardhoh
           gal <= ( others => '1');
217 10 gerhardhoh
         else
218 15 gerhardhoh
            gal <= gal( 6 downto 4) & ( gal( 3) xor gal( 7)) & ( gal( 2) xor gal( 7)) & ( gal( 1) xor gal( 7)) & gal( 0) & gal( 7);
219 13 gerhardhoh
         oldint <= interrupt;
220 10 gerhardhoh
           case statetag is
221
                  when inittag =>
222
                    for i in tagRAMIn'range loop
223
                           tagRAMIn(i).tagValid <= '0';
224
                           tagRAMIn(i).tag <= ( others => '0');
225
                           tagRAMIn(i).cacheValid <= '0';
226
                           tagRAMIn(i).cacheAddr <= ( others => '0');
227
                         end loop;
228
                         AddressInt <= std_ulogic_vector(initcount);
229
                         initcount <= initcount + 1;
230
                         if unsigned( not AddressInt) = 0 then
231
                      statetag <= startt;
232
                           writet <= '0';
233
                         else
234
                           writet <= '1';
235
                         end if;
236
                  when startt =>
237
                    if IOCode( 1 downto 0) /= "00" and AddressIn( AddressIn'high) = '0' then
238
                      -- request encountered
239
                                AddressInh <= AddressIn(AddressInh'range);
240 11 gerhardhoh
                                IOCodeh <= IOCode;
241 10 gerhardhoh
                      AddressInt <= AddressIn( AddressInt'range);
242
                                DataInh <= DataIn;
243
                      statetag <= startt1;
244
                    end if;
245
                  when startt1 =>
246
                    statetag <= tagtest;
247
                  when tagtest =>
248 11 gerhardhoh
          a := 15;
249 10 gerhardhoh
                    b := 15;
250 11 gerhardhoh
 
251
               for i in 0 to TagRAMarray'high loop
252
                      if tagRAMOut( i).tagValid = '1' then
253
                   if AddressInh(tagRAMout( i).tag'range) = tagRAMout( i).tag then
254 10 gerhardhoh
                          a := i; -- present
255 11 gerhardhoh
                                  end if;
256
                      else
257
                             b := i; -- free entry
258
                      end if;
259
               end loop;
260 10 gerhardhoh
 
261 11 gerhardhoh
                    found <= a;
262
                    free <= b;
263 15 gerhardhoh
 
264
               if ways  = 1 then
265
                 elim <= 0;
266
               else
267 16 gerhardhoh
                 elim <= to_integer( gal( ldways - 1 downto 0));
268 15 gerhardhoh
               end if;
269 16 gerhardhoh
 
270 10 gerhardhoh
                    if stateram = ramstart then
271
                      enableram <= '1';
272
                      statetag <= tagwait;
273
                         end if;
274
                  when tagwait =>
275
                    writet <= '0';
276
 
277 13 gerhardhoh
                    if interrupt = '1' and oldint = '0' then
278 10 gerhardhoh
                      enableram <= '0';
279
                           AddressInt <= toFlush;
280
                                statetag <= stateget;
281
                         elsif queuedone = '1' then
282
                      enableram <= '0';
283
                           statetag <= finish;
284
                         end if;
285
                  when stateget =>
286
                         statetag <= stateget1;
287
                  when stateget1 =>
288
                    enableram <= '1';
289
                         tagDummy <= tagRAMOut;
290
 
291
                         for i in tagRAMIn'range loop
292
                           if del = i then
293
                        tagRAMIn( i).tagvalid <= '0';
294
                             tagRAMIn( i).cacheValid <= '0';
295
                             tagRAMIn( i).tag <= ( others => '0');
296
                             tagRAMIn( i).cacheAddr <= ( others => '0');
297
                                  writet <= '1';
298
                           else
299
                             tagRAMIn( i) <= tagRAMOut( i);
300
                           end if;
301
                         end loop;
302
 
303
                         statetag <= tagwait;
304
                  when finish =>
305
                    if doneh = '1' then
306
                           tagRAMIn <= tagBuff;
307
                                writet <= '1';
308
                      AddressInt <= AddressInh( AddressInt'range);
309
                                done <= '1';
310
                      statetag <= finished;
311
                    end if;
312
                  when finished => -- NEW
313
                    writet <= '0';
314
                    done <= '0';
315
                    statetag <= startt;
316
                end case;
317
 
318
         for i in tagRAM'range loop
319
      DataInTag( i) := TagRAMIn( i).TagValid & TagRAMIn( i).Tag & TagRAMIn( i).cacheValid & TagRAMIn( i).cacheAddr;
320
 
321
           if writet = '1' then
322
                  tagRAM(i)(to_integer( AddressInt)) <= DataInTag( i);
323
                else
324
                  DataOutTag( i) := tagRAM(i)(to_integer( AddressInt));
325
 
326
             TagRAMOut( i).cacheAddr <= DataOutTag( i)( ldram - 1 downto 0);
327
             TagRAMOut( i).cacheValid <= DataOutTag( i)( ldram);
328
             TagRAMOut( i).Tag <= DataOutTag( i)( DataOutTag( 0)'high - 1 downto ldram + 1);
329
             TagRAMOut( i).TagValid <= DataOutTag( i)( DataOutTag( 0)'high);
330
                end if;
331
         end loop;
332
         end if;
333
  end if;
334
  end Process tagrams;
335
 
336
  dataram: process (nReset, Clock, enableram) is
337
  variable en, acc, hi: std_ulogic;
338
  variable f, g: std_ulogic_vector( CacheIn.FiFoAddr'length downto 0);
339
  variable a, b: RAMBuffer;
340
  variable index, index1: integer;
341
 
342 11 gerhardhoh
  variable address: std_ulogic_vector( ldram - 1 downto 0);
343
  variable uaddress: unsigned( ldram - 1 downto 0);
344 10 gerhardhoh
  variable datum:  std_ulogic_vector( FreeIn'range);
345 11 gerhardhoh
  variable w: std_ulogic;
346 10 gerhardhoh
  begin
347
  if rising_edge(Clock) then
348
    if nReset /= '1' then
349
           enablequeue <= '0';
350
           stateram <= raminit;
351
                writec <= '0';
352
                writeb <= '0';
353
                readb <= '0';
354
                getf <= '0';
355
                putf <= '0'; -- NEW inserted
356
                doneh <= '0';
357
                accinterrupt <= '0';
358
                accqueue <= '0';
359
                initcount1 <= ( others => '0');
360
                FreeIn <= ( others => '0');
361 11 gerhardhoh
                firstf <= ( others => '0');
362
                lastf <= ( others => '0');
363
                counterf <= ( others => '0');
364 10 gerhardhoh
         else
365 13 gerhardhoh
           hi := accinterrupt or (interrupt and not oldint);
366 10 gerhardhoh
                acc := accqueue or queuedone;
367 13 gerhardhoh
                en := enablequeue and not acc;
368 10 gerhardhoh
 
369
                if ldCachedWords = 0 then
370
                  index := 0;
371
                else
372
                  index := to_integer( AddressInh( ldCachedWords + 1 downto 2));
373
                end if;
374
 
375
           case stateram is
376
                  when raminit =>
377
                         FreeIn <= std_ulogic_vector( initcount1);
378
          initcount1    <= initcount1 + 1;
379
 
380
                         if unsigned( not FreeIn) = 0 then
381
                           stateram <= ramstart;
382
                           putf <= '0';
383
                         else
384
                           putf <= '1';
385
                         end if;
386
                  when ramstart =>
387
                    if enableram = '1' then -- UPDATE
388
                           tagBuff <= tagRAMOut;
389
                                elim <= 15;
390
                                stateram <= ramstart1;
391 11 gerhardhoh
                         end if;
392 10 gerhardhoh
                  when ramstart1 =>
393
                    if enableram = '1' then
394
                                if found /= 15 then
395
                                  cindex <= tagBuff( found).cacheAddr;
396
                                  stateram <= ramupdate;
397
                                elsif free /= 15 then
398
                                  en := '1';
399
                                  stateram <= ramwait;
400
                                else
401 15 gerhardhoh
                                  cindex <= tagBuff( elim).cacheAddr;
402
                                  stateram <= ramupdate;
403 10 gerhardhoh
                                end if;
404
                         end if;
405
                  when ramupdate =>
406
                    stateram <= ramupdate1;
407
                  when ramupdate1 =>
408
                    cacheIn <= cacheOut;
409
                         blockOut <= cacheOut.Words;
410
                         RecBuff <= cacheOut;
411
                         en := '1';
412
                         stateram <= ramwait;
413
                  when ramwait =>
414
                         doneh <= '0';
415
 
416
                    if hi = '1' then
417
                                stateram <= ramwait1;
418
                         elsif acc = '1' then
419
                           if found /= 15 then
420
                                  cindex <= tagBuff( found).cacheAddr;
421
                                  cacheIn <= RecBuff;
422
                                  blockOut <= RecBuff.Words;
423
                                  stateram <= ramupdate2;
424
                                elsif free /= 15 then
425
                                  cindex <= FreeOut;
426
                                  tagBuff( free).cacheAddr <= FreeOut;
427
                                  tagBuff( free).cacheValid <= '1';
428
                                  tagBuff( free).tag <= AddressInh( tagBuff( free).tag'range);
429
                                  tagBuff( free).tagValid <= '1';
430
                                  getf <= '1';
431
                                  if IOCodeh = "111" and ldCachedWords = 0 then
432
                                    stateram <= ramupdate2;
433
                                  else
434
                                    readb <= '1';
435
                               AddressOut <= AddressInh( AddressOut'range);
436
                                    stateram <= ramread;
437
                                  end if;
438
                                else
439
                                  cindex <= tagBuff( elim).cacheAddr;
440
                                  cacheIn <= RecBuff;
441
                                  blockOut <= RecBuff.Words;
442
                                  AddressOut <= tagBuff( elim).tag & AddressInh( AddressInt'range) & ( ldCachedWords + 1 downto 0 => '0');
443
                        writeb <= '1';
444
                                  stateram <= ramflush;
445
                                end if;
446
                         end if;
447
                  when ramwait1 =>
448
                         if del /= 15 and enableram = '1' then
449 11 gerhardhoh
                           if toflush = AddressInh( toflush'range) then -- inserted, tagline could match flushing tagline !!!!
450 10 gerhardhoh
                        tagBuff( del).tagvalid <= '0';
451
                             tagBuff( del).cacheValid <= '0';
452
                             tagBuff( del).tag <= ( others => '0');
453
                             tagBuff( del).cacheAddr <= ( others => '0');
454
                                end if;
455
                           cindex <= tagdummy( del).cacheAddr;
456
                                FreeIn <= tagdummy( del).cacheAddr;
457
                                putf <= tagdummy( del).cacheValid;
458
                           stateram <= ramclean;
459
                         end if;
460
                  when ramread =>
461
                    readb <= '0';
462
                         getf <= '0';
463
                    stateram <= ramread1;
464
                  when ramread1 =>
465
                    if readsh = '0' then
466
                           for i in blockIn'range loop
467
                                  cacheIn.Words( i) <= blockIn( i);
468
                                end loop;
469
                      stateram <= ramupdate2;
470
                         end if;
471
                  when ramupdate2 =>
472
                    if IOCodeh(2) = '1' then
473
                           if IOCodeh(1) = '1' then
474
                                  If IOCodeh(0) = '1' then
475
                                    cacheIn.Words( index).Word <= DataInh;
476
                                         cacheIn.Words( index).Modified <= "1111";
477
                                  elsif AddressInh(1) = '1' then
478
                                    cacheIn.Words( index).Word( 31 downto 16) <= DataInh( 15 downto 0);
479
                                         cacheIn.Words( index).Modified( 3 downto 2) <= "11";
480
                                  else
481
                                    cacheIn.Words( index).Word( 15 downto 0) <= DataInh( 15 downto 0);
482
                                         cacheIn.Words( index).Modified( 1 downto 0) <= "11";
483
                                  end if;
484
                                else
485
                                  if AddressInh(1) = '0' then
486
                                    if AddressInh(0) = '0' then
487
                                           cacheIn.Words( index).Word( 7 downto 0) <= DataInh( 7 downto 0);
488
                                                cacheIn.Words( index).Modified(0) <= '1';
489
                                    else
490
                                           cacheIn.Words( index).Word( 15 downto 8) <= DataInh( 7 downto 0);
491
                                                cacheIn.Words( index).Modified(1) <= '1';
492
                                         end if;
493
                                  else
494
                                    if AddressInh(0) = '0' then
495
                                           cacheIn.Words( index).Word( 23 downto 16) <= DataInh( 7 downto 0);
496
                                                cacheIn.Words( index).Modified(2) <= '1';
497
                                    else
498
                                           cacheIn.Words( index).Word( 31 downto 24) <= DataInh( 7 downto 0);
499
                                                cacheIn.Words( index).Modified(3) <= '1';
500
                                         end if;
501
                                  end if;
502
                                end if;
503
                         else
504
                           DataOut <= cacheIn.Words( index).Word;
505
                         end if;
506
 
507
                         cacheIn.FiFoAddr <= newFiFoAddr;
508
                         cacheIn.Am <= newAm;
509
 
510
                         getf <= '0';
511
                         writec <= '1';
512
                         doneh <= '1';
513
 
514
                         stateram <= ramupdate3;
515
                  when ramupdate3 =>
516
                    hi := '0';
517
                         acc := '0';
518
                         en := '0';
519
                         writec <= '0';
520
                    doneh <= '0';
521
                         stateram <= ramstart;
522
                  when ramclean =>
523
                    putf <= '0';
524
                    stateram <= ramclean1;
525
                  when ramclean1 =>
526
                         if del /= 15 then
527
                           blockOut <= cacheOut.words;
528
                                writeb <= tagdummy( del).tagValid;
529
                                AddressOut <= tagdummy( del).tag & toFlush & ( ldCachedWords + 1 downto 0 => '0');
530
                           stateram <= ramflush;
531
                         end if;
532
                  when ramflush =>
533
                    writeb <= '0';
534
                         for i in blockIn'range loop
535
                      cacheIn.Words( i).Word <= ( others => '0');
536
                           cacheIn.Words( i).Modified <= ( others => '0');
537
                         end loop;
538
 
539
                         stateram <= ramflush1;
540
                  when ramflush1 =>
541
                         if writesh = '0' then
542
                           if del /= 15 and hi = '1' then
543
                                  hi := '0';
544
                             stateram <= ramwait;
545
                                else
546
                                  tagBuff( elim).tag <= AddressInh( tagBuff( elim).tag'range);
547
                                  tagBuff( elim).tagValid <= '1';
548
                                  if IOCodeh = "111" and ldCachedWords = 0 then
549
                                    stateram <= ramupdate2;
550
                                  else
551
                                    readb <= '1';
552
                                    AddressOut <= AddressInh( AddressOut'range);
553
                                    stateram <= ramread;
554
                                  end if;
555
                                end if;
556
                         end if;
557
                end case;
558
 
559
                accinterrupt <= hi;
560
                enablequeue <= en;
561
                accqueue <= acc;
562
 
563
         f := CacheIn.Am & CacheIn.FiFoAddr;
564
         if writec = '1' then
565
           Ax( to_integer( cindex)) <= f;
566
         else
567
           g := Ax( to_integer( cindex));
568
                CacheOut.FiFoAddr <= g( g'high - 1 downto g'low);
569
                CacheOut.Am <= g( g'high);
570
         end if;
571
 
572
         for i in RAMBuffer'range loop
573
           a( i) := CacheIn.Words( i).Modified & CacheIn.Words( i).Word;
574
                if writec = '1' then
575
                  RAMs( i)( to_integer( cindex)) <= a( i);
576
                else
577
                  b( i) := RAMs( i)( to_integer( cindex));
578
                  CacheOut.Words( i).Word <= b( i)( 31 downto 0);
579
                  CacheOut.Words( i).Modified <= b( i)( 35 downto 32);
580
                end if;
581
         end loop;
582
 
583 11 gerhardhoh
         if putf = '1' then
584
           address := std_ulogic_vector( firstf);
585
                datum := FreeIn;
586
                firstf <= firstf + 1;
587
                counterf <= counterf + 1;
588 10 gerhardhoh
                w := '1';
589
         else
590 11 gerhardhoh
           uaddress := lastf;
591
           if getf = '1' and counterf /= 0 then
592 10 gerhardhoh
             counterf <= counterf - 1;
593 11 gerhardhoh
                  uaddress := uaddress + 1;
594 10 gerhardhoh
           end if;
595 11 gerhardhoh
                lastf <= uaddress;
596 10 gerhardhoh
                address := std_ulogic_vector( uaddress);
597 11 gerhardhoh
                w := '0';
598
         end if;
599 10 gerhardhoh
 
600
         if w = '1' then
601 11 gerhardhoh
           ramf( to_integer( address)) <= datum;
602 10 gerhardhoh
         else
603 11 gerhardhoh
           FreeOut <= ramf( to_integer( address));
604
         end if;
605 10 gerhardhoh
 
606
         end if;
607
  end if;
608
  end process dataram;
609
 
610
  emptyf <= '1' when counterf = 0 else '0';
611
 
612
  queues: process( nReset, Clock, enablequeue) is
613
  variable acc, hi: std_ulogic;
614
  variable A1OutBuff, AmOutBuff: std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
615 11 gerhardhoh
  variable addressA1: std_ulogic_vector( ldqueuelength - 1 downto 0);
616
  variable diff, uaddressA1: unsigned( ldqueuelength - 1 downto 0);
617 10 gerhardhoh
  variable datumA1:  std_ulogic_vector( A1OutBuff'range);
618 11 gerhardhoh
  variable wA1: std_ulogic;
619
  variable addressAm: std_ulogic_vector( ldqueuelength - 1 downto 0);
620
  variable uaddressAm: unsigned( ldqueuelength - 1 downto 0);
621 10 gerhardhoh
  variable datumAm:  std_ulogic_vector( AmOutBuff'range);
622 11 gerhardhoh
  variable wAm: std_ulogic;
623 10 gerhardhoh
  begin
624
  if rising_edge(Clock) then
625
    if nReset /= '1' then
626
                del <= 15;
627
           statequeue <= queuestart;
628
           queuedone <= '0';
629
                interrupt <= '0';
630
                accdone <= '0';
631
                preempted <= '0';
632 11 gerhardhoh
                firstA1 <= ( others => '0');
633
                A1Outaddr <= ( others => '0');
634
                lastA1 <= ( others => '0');
635 10 gerhardhoh
                counterA1 <= ( others => '0');
636 11 gerhardhoh
                firstAm <= ( others => '0');
637
                AmOutaddr <= ( others => '0');
638
                lastAm <= ( others => '0');
639 10 gerhardhoh
                counterAm <= ( others => '0');
640
                getA1 <= '0'; -- NEW
641
                getAm <= '0'; -- NEW
642
                removeA1 <= '0'; -- NEW
643
                removeAm <= '0'; -- NEW
644
                putA1 <= '0'; -- NEW
645 11 gerhardhoh
                putAm <= '0'; -- NEW
646 13 gerhardhoh
           serviced <= '0';
647 10 gerhardhoh
         else
648 13 gerhardhoh
           hi := interrupt;
649 10 gerhardhoh
                acc := accdone or doneh;
650
 
651
                diff := firstA1 - unsigned( RecBuff.FiFoAddr);
652
 
653
           case statequeue is
654
                  when queuestart =>
655
                         getA1 <= '0';
656
 
657
                    if enablequeue = '1' then
658
                           if found /= 15 then
659
                                  if RecBuff.Am = '1' or                                -- in Am
660
                                    ( RecBuff.Am = '0' and diff( diff'high) = '0') then -- in lower half of A1
661
                                    queuedone <= '1';
662
                                         newFiFoAddr <= RecBuff.FiFoAddr;
663
                                         newAm <= RecBuff.Am;
664
                               statequeue <= queuewait;
665
                                  elsif fullAm = '1' then
666
                                    -- Am full
667
                                         if AmOut.valid = '1' then
668
                                           del <= to_integer( AmOut.way);
669
                                                toFlush <= AmOut.word;
670
                                                getAm <= '1';
671
                                           hi := '1';
672
                                           statequeue <= queuewait;
673
                                         end if;
674
                                  else
675
                                    AmIn.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
676
                                         AmIn.way <= std_ulogic_vector(to_unsigned( found, ldways + 1));
677
                                         AmIn.valid <= '1';
678
                                         putAm <= '1';
679
                                         A1Inaddr <= RecBuff.FiFoAddr;
680
                                         removeA1 <= '1';
681
                                         statequeue <= queuewaitAm1;
682
                                  end if;
683
                                elsif free /= 15 then
684 13 gerhardhoh
                                  if fullA1 = '1' or (emptyf = '1' and emptyA1 = '0' and serviced = '0') then
685 10 gerhardhoh
                                    -- remove last entry from A1
686
                                         if A1Out.valid = '1' then
687
                                           del <= to_integer( A1Out.way);
688
                                           toFlush <= A1Out.word;
689
                                           getA1 <= '1';
690
                                           hi := '1';
691 13 gerhardhoh
                              serviced <= '1';
692 10 gerhardhoh
                                           statequeue <= queuewait;
693
                                         end if;
694 13 gerhardhoh
                                  elsif fullAm = '1' and emptyf = '1' and serviced = '0' then
695 10 gerhardhoh
                                    -- remove last entry from Am
696
                                         if AmOut.valid = '1' then
697
                                           del <= to_integer( AmOut.way);
698
                                           toFlush <= AmOut.word;
699
                                           getAm <= '1';
700
                                           hi := '1';
701 13 gerhardhoh
                              serviced <= '1';
702 10 gerhardhoh
                                           statequeue <= queuewait;
703
                                         end if;
704
                                  else
705
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
706
                                         A1In.way <= std_ulogic_vector(to_unsigned( free, ldways + 1));
707
                                         A1In.valid <= '1';
708
                                         putA1 <= '1';
709 13 gerhardhoh
                            serviced <= '0';
710 10 gerhardhoh
                                         statequeue <= queuewaitA11;
711
                                  end if;
712
                                elsif elim /= 15 then
713
                                  if fullA1 = '1' then
714
                                    if A1Out.valid = '1' then
715
                                           if not ( to_integer( A1Out.way) = elim and
716
                                                        A1Out.word = AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords)) then
717
                                             del <= to_integer( A1Out.way);
718
                                             toFlush <= A1Out.word;
719
                                             statequeue <= queueelim;
720
                                           end if;
721
 
722
                                           getA1 <= '1';
723
                                         end if;
724
                                  else
725 12 gerhardhoh
                            if getA1 = '1' then
726
                              preempted <= '1';
727
                            end if;
728 10 gerhardhoh
                                         getA1 <= '0'; -- NEW, inserted the only bug!!!!!!!!!!!!!!
729
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
730
                                         A1In.way <= std_ulogic_vector(to_unsigned( elim, ldways + 1));
731
                                         A1In.valid <= '1';
732
                                         putA1 <= '1';
733
                                         statequeue <= queueelim;
734
                                  end if;
735
                                end if;
736
                         end if;
737
                  when queuewait =>
738
                         removeA1 <= '0';
739
                         removeAm <= '0';
740
                    getAm <= '0';
741
                    getA1 <= '0';
742 14 gerhardhoh
                         queuedone <= '0';
743 10 gerhardhoh
 
744 13 gerhardhoh
             if hi = '1' then
745
                   hi := '0';
746
                           statequeue <= queuestart;
747
               elsif acc = '1' then
748 10 gerhardhoh
                           acc := '0';
749
                                del <= 15;
750
                           statequeue <= queuestart;
751
                         end if;
752
                  when queuewaitAm1 =>
753
                    putAm <= '0';
754
                         removeA1 <= '0';
755
                         statequeue <= queuewaitAm2;
756
                  when queuewaitAm2 =>
757
                         newFiFoAddr <= AmOutAddr;
758
                         newAm <= '1';
759
                         queuedone <= '1';
760
                         statequeue <= queuewait;
761
                  when queuewaitA11 =>
762
                    putA1 <= '0';
763
                         statequeue <= queuewaitA12;
764
                  when queuewaitA12 =>
765
                         newFiFoAddr <= A1OutAddr;
766
                         newAm <= '0';
767
                         removeA1 <= '0';
768
                         removeAm <= '0';
769
                         queuedone <= '1';
770
                    preempted <= '0';
771
                         statequeue <= queuewait;
772
                  when queueelim =>
773
                    putA1 <= '0';
774
                         getA1 <= '0';
775
 
776
                         if RecBuff.Am = '1' and preempted = '0' then
777
                           AmInAddr <= RecBuff.FiFoAddr;
778
                           removeAm <= '1';
779
                         elsif preempted = '0' then
780
                           A1InAddr <= RecBuff.FiFoAddr;
781
                           removeA1 <= '1';
782
                         end if;
783
 
784
                         if getA1 = '1' then
785
                           hi := '1';
786
                                preempted <= '1';
787
                           statequeue <= queuewait;
788
                         else
789
                           statequeue <= queuewaitA12;
790
                         end if;
791
                end case;
792
 
793
                interrupt <= hi;
794
                accdone <= acc;
795
 
796 11 gerhardhoh
         if putA1 = '1' or removeA1 = '1' then
797
           if removeA1 = '0' then
798
             addressA1 := std_ulogic_vector( firstA1);
799 10 gerhardhoh
                  datumA1 := A1In.valid & A1In.way & A1In.Word;
800 11 gerhardhoh
                  firstA1 <= firstA1 + 1;
801
                  counterA1 <= counterA1 + 1;
802
                  A1Outaddr <= std_ulogic_vector( firstA1);
803
                else
804
                  addressA1 := A1Inaddr( addressA1'range);
805
                  datumA1 := ( others => '0');
806 10 gerhardhoh
                end if;
807 11 gerhardhoh
                wA1 := '1';
808
         else
809
           uaddressA1 := lastA1;
810
           if (getA1 = '1' or A1Out.valid = '0') and counterA1 /= 0 then
811
             counterA1 <= counterA1 - 1;
812
             uaddressA1 := uaddressA1 + 1;
813 10 gerhardhoh
           end if;
814
           lastA1 <= uaddressA1;
815
           addressA1 := std_ulogic_vector( uaddressA1);
816 11 gerhardhoh
           wA1 := '0';
817 10 gerhardhoh
         end if;
818
 
819
         if wA1 = '1' then
820 11 gerhardhoh
           ramA1( to_integer( addressA1)) <= datumA1;
821 10 gerhardhoh
         else
822 11 gerhardhoh
           A1OutBuff := ramA1( to_integer( addressA1));
823 10 gerhardhoh
 
824
      A1Out.Word <= A1OutBuff( blocksizeld - 1 downto 0);
825
      A1Out.way <= A1OutBuff( blocksizeld + ldways downto blocksizeld);
826
                A1Out.valid <= A1OutBuff( blocksizeld + ldways + 1);
827 11 gerhardhoh
         end if;
828 10 gerhardhoh
 
829 11 gerhardhoh
         if putAm = '1' or removeAm = '1' then
830
           if removeAm = '0' then
831
             addressAm := std_ulogic_vector( firstAm);
832 10 gerhardhoh
                  datumAm := AmIn.valid & AmIn.way & AmIn.Word;
833 11 gerhardhoh
                  firstAm <= firstAm + 1;
834
                  counterAm <= counterAm + 1;
835
                  AmOutaddr <= std_ulogic_vector( firstAm);
836
                else
837
                  addressAm := AmInaddr( addressAm'range);
838
                  datumAm := ( others => '0');
839 10 gerhardhoh
                end if;
840 11 gerhardhoh
                wAm := '1';
841
         else
842
           uaddressAm := lastAm;
843
           if (getAm = '1' or AmOut.valid = '0') and counterAm /= 0 then
844
             counterAm <= counterAm - 1;
845
             uaddressAm := uaddressAm + 1;
846 10 gerhardhoh
           end if;
847
           lastAm <= uaddressAm;
848
           addressAm := std_ulogic_vector( uaddressAm);
849 11 gerhardhoh
           wAm := '0';
850 10 gerhardhoh
         end if;
851 11 gerhardhoh
 
852 10 gerhardhoh
         if wAm = '1' then
853 11 gerhardhoh
           ramAm( to_integer( addressAm)) <= datumAm;
854 10 gerhardhoh
         else
855
           AmOutBuff := ramAm( to_integer( addressAm));
856 11 gerhardhoh
 
857 10 gerhardhoh
      AmOut.Word <= AmOutBuff( blocksizeld - 1 downto 0);
858
      AmOut.way <= AmOutBuff( blocksizeld + ldways downto blocksizeld);
859
                AmOut.valid <= AmOutBuff( blocksizeld + ldways + 1);
860
         end if;
861 11 gerhardhoh
         end if;
862 10 gerhardhoh
  end if;
863
  end process queues;
864
 
865
  fullA1 <= counterA1( counterA1'high);
866 11 gerhardhoh
  emptyA1 <= '1' when counterA1 = 0 else '0';
867 10 gerhardhoh
 
868
  fullAm <= counterAm( counterAm'high);
869 11 gerhardhoh
  emptyAm <= '1' when counterAm = 0 else '0';
870 10 gerhardhoh
 
871 11 gerhardhoh
end Rtl;
872
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.