OpenCores
URL https://opencores.org/ocsvn/mytwoqcache/mytwoqcache/trunk

Subversion Repositories mytwoqcache

[/] [mytwoqcache/] [trunk/] [2QCache.vhd] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 gerhardhoh
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    07:41:47 12/14/2010 
6
-- Design Name: 
7
-- Module Name:    Cache - Rtl 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE, work;
21
use IEEE.std_logic_1164.all;
22
use IEEE.std_logic_arith.all;
23
use work.global.all;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity Cache is
31
  generic( constant blocksizeld: integer := 11;
32
                          constant ldways: integer := 1;
33
                          constant ldCachedWords: integer := 2);
34
  port( nReset: in std_ulogic;                                          -- System reset active low
35
        Clock: in std_ulogic;                                           -- System Clock
36 10 gerhardhoh
                  AddressIn: in std_ulogic_vector(RAMrange'high + 1 downto 0);    -- Address of memory fetch
37 11 gerhardhoh
                  DataIn: in std_ulogic_vector( 31 downto 0);                     -- Data to write
38
             IOCode: in std_ulogic_vector(2 downto 0);                                           -- operation
39 10 gerhardhoh
                                                                                  -- Bit
40
                                                                                                                                                                                                --  2    0 read
41
                                                                                                                                                                                                --       1 write
42
                                                                                                                                                                                                -- 1 0   11 word
43
                                                                                                                                                                                                --       10 halfword
44
                                                                                                                                                                                                --       01 single byte
45 11 gerhardhoh
                                                                                                                                                                                                --       00 no operation
46
                  DataOut: out std_ulogic_vector( 31 downto 0);                   -- Data read
47 10 gerhardhoh
                  done: out std_ulogic;
48 11 gerhardhoh
                  -- memory interface
49
                  AddressOut: out std_ulogic_vector(RAMrange'high downto 0);        -- memory address
50
                  DataBlockIn: in std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0);   -- data from memory
51
                  reads: out std_ulogic;                                                      -- read memory
52
                  DataBlockOut: out std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0); -- data to memory
53
                  Mask: out std_ulogic_vector( 2 ** ldCachedWords * 4 - 1 downto 0);          -- enables for each byte active low
54 10 gerhardhoh
                  writes: out std_ulogic;                                                     -- write memory
55 11 gerhardhoh
                  ack: in std_ulogic                                                          -- acknowledge from memory
56
                );
57
end Cache;
58
 
59 10 gerhardhoh
architecture Rtl of Cache is
60
constant ways: integer := 2 ** ldways;
61
constant ldram: integer := blocksizeld + ldways - 1;
62
constant ldqueuelength: integer := ldram;
63
 
64 11 gerhardhoh
type IOType is ( Start, busy);
65
type tType is ( inittag, startt, startt1, tagtest, tagwait, stateget, stateget1, finish, finished);
66 18 gerhardhoh
type rType is ( raminit, ramstart, ramread, ramread1, ramupdate,
67 11 gerhardhoh
                ramupdate1, ramupdate2, ramupdate3, ramflush, ramflush1, ramwait, ramwait1, ramclean, ramclean1);
68
type fType is ( queuestart, queuewait, queuewaitAm1, queuewaitAm2, queuewaitA11, queuewaitA12, queueelim);
69
subtype myint is natural range 15 downto 0;
70
type TagRAMType is record
71
  cacheAddr: std_ulogic_vector( ldram - 1 downto 0);
72
  cacheValid: std_ulogic;
73
  Tag: std_ulogic_vector( RAMrange'high downto 2 + ldCachedWords + blocksizeld);
74
  TagValid: std_ulogic;
75 10 gerhardhoh
end record;
76
type WordType is record
77 11 gerhardhoh
  Word: std_ulogic_vector(31 downto 0);
78 10 gerhardhoh
  Modified: std_ulogic_vector( 3 downto 0);
79
end record;
80 11 gerhardhoh
type WordArray is array ( 2 ** ldCachedWords - 1 downto 0) of WordType;
81
type CacheType is record
82 10 gerhardhoh
  Words: WordArray;
83
  FiFoaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
84 11 gerhardhoh
  Am: std_ulogic;                                                        -- redifined and renamed
85
end record;
86 10 gerhardhoh
type FiFoType is record
87
  Word: std_ulogic_vector( blocksizeld - 1 downto 0);
88
  way: std_ulogic_vector( ldways downto 0);
89
  valid: std_ulogic;
90
end record;
91
 
92
type TagRAMarray is array ( ways - 1 downto 0) of TagRAMType;
93
type TagBuffer is array ( ways - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
94
type TagFile is array ( 2 ** blocksizeld - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
95
type TagFiles is array ( ways - 1 downto 0) of TagFile;
96
 
97
type RAMFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( 35 downto 0);
98
type RAMFiles is array ( 2 ** ldCachedWords - 1 downto 0) of RAMFile;
99
type RAMBuffer is array ( 2 ** ldCachedWords - 1 downto 0) of std_ulogic_vector( 35 downto 0);
100 11 gerhardhoh
type AFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldqueuelength downto 0); -- redimensioned
101 10 gerhardhoh
 
102
type myarrayf is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldram - 1 downto 0);
103
type myarrayA is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
104
 
105
signal RAMs: RAMFiles;
106
signal Ax: AFile;
107 11 gerhardhoh
signal tagRAM: TagFiles;
108 10 gerhardhoh
signal tagdummy, tagBuff, TagRAMIn, TagRAMOut: TagRAMarray;
109
signal RecBuff, CacheIn, CacheOut: CacheType;
110
signal blockIn, blockOut: WordArray;
111
signal DataInh: std_ulogic_vector( 31 downto 0);
112
signal A1In, A1Out, AmIn, AmOut: FiFoType;
113
signal putA1, removeA1, getA1, emptyA1, fullA1: std_ulogic;
114
signal putAm, removeAm, getAm, emptyAm, fullAm: std_ulogic;
115
signal A1Inaddr, A1Outaddr, AmInaddr, AmOutaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
116
signal emptyf, getf, putf: std_ulogic;
117 11 gerhardhoh
signal cindex, FreeOut, FreeIn: std_ulogic_vector( ldram - 1 downto 0);
118
signal ramf: myarrayf;
119
signal counterf: unsigned( ldram downto 0);
120 10 gerhardhoh
signal firstf, lastf: unsigned( ldram - 1 downto 0);
121
signal newFiFoAddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
122 11 gerhardhoh
signal newAm: std_ulogic;  -- redifined and renamed
123 10 gerhardhoh
signal initcount: unsigned( blocksizeld - 1 downto 0);
124
signal initcount1: unsigned( ldram - 1 downto 0);
125 11 gerhardhoh
signal ramA1: myarrayA;
126
signal counterA1: unsigned( ldqueuelength downto 0);
127
signal firstA1, lastA1: unsigned( ldqueuelength - 1 downto 0);
128
signal ramAm: myarrayA;
129
signal counterAm: unsigned( ldqueuelength downto 0);
130
signal firstAm, lastAm: unsigned( ldqueuelength - 1 downto 0);
131 10 gerhardhoh
 
132
signal AddressInh: std_ulogic_vector( AddressIn'high -1 downto 0);
133 11 gerhardhoh
signal IOCodeh: std_ulogic_vector( IOCode'range);
134
signal toFlush, AddressInt: std_ulogic_vector( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
135
signal found, free, elim, del: myint;
136 10 gerhardhoh
signal stateIO: IOType;
137
signal statetag: tType;
138
signal stateram: rType;
139
signal statequeue: fType;
140
signal enableram, enablequeue, queuedone, readsh, writesh, doneh, preempted,
141 13 gerhardhoh
       interrupt, readb, writeb, writec, writet, accdone, accqueue, accinterrupt, serviced, oldint: std_ulogic;
142 15 gerhardhoh
signal gal: std_ulogic_vector( 7 downto 0);
143 11 gerhardhoh
 
144
begin
145 10 gerhardhoh
 
146 11 gerhardhoh
 
147
 
148 10 gerhardhoh
  blockIO: process( nReset, Clock, readb, writeb) is
149
  variable s: std_ulogic;
150
  begin
151
    if nReset /= '1' then
152
           writesh <= '0';
153
                readsh <= '0';
154
                stateIO <= start;
155
    elsif rising_edge(Clock) then
156
           case stateIO is
157
                when start =>
158
                  if readb = '1' then
159
                         Mask <= ( others => '1');
160
                         readsh <= '1';
161
                    stateIO <= busy;
162
                  elsif writeb = '1' then
163
                    s := '0';
164
 
165
                    for i in blockOut'range loop
166
                      DataBlockOut( ( i + 1) * 32 - 1 downto i * 32) <= blockOut( i).word;
167
                           Mask( ( i + 1) * 4 - 1 downto i * 4) <= not blockOut( i).Modified;
168
                                s := s or blockOut( i).Modified(0) or blockOut( i).Modified(1) or
169
                                          blockOut( i).Modified(2) or blockOut( i).Modified(3);
170
                         end loop;
171
 
172
                         writesh <= s;
173
 
174
                         if s = '1' then
175
                      stateIO <= busy;
176
                         end if;
177
                  end if;
178
                when busy =>
179
                  if ack = '1' then
180
                    stateIO <= start;
181
 
182
                    if readsh = '1' then
183
                           for i in blockIn'range loop
184
                        blockIn( i).word <= DataBlockIn( ( i + 1) * 32 - 1 downto i * 32);
185
                                  blockIn( i).Modified <= ( others => '0');
186
                                end loop;
187
                    end if;
188
 
189
                    readsh <= '0';
190
                    writesh <= '0';
191
                  end if;
192
                end case;
193
         end if;
194
  end process blockIO;
195
 
196
  writes <= writesh;
197
  reads <= readsh;
198
 
199
  tagrams: process ( nReset, Clock) is
200
  variable a, b, d: myint;
201 11 gerhardhoh
  variable DataInTag, DataOutTag: TagBuffer;
202 10 gerhardhoh
  begin
203
  if rising_edge(Clock) then
204
    if nReset /= '1' then
205
           statetag <= inittag;
206
                writet <= '0';
207
                enableram <= '0';
208 13 gerhardhoh
           oldint <= '0';
209 10 gerhardhoh
                found <= 15;
210
                free <= 15;
211
                done <= '0'; -- NEW
212
                initcount <= ( others => '0');
213
                AddressInt <= ( others => '0');
214
                IOCodeh <= ( others => '0');
215
                AddressInh <= ( others => '0');
216 15 gerhardhoh
           gal <= ( others => '1');
217 10 gerhardhoh
         else
218 15 gerhardhoh
            gal <= gal( 6 downto 4) & ( gal( 3) xor gal( 7)) & ( gal( 2) xor gal( 7)) & ( gal( 1) xor gal( 7)) & gal( 0) & gal( 7);
219 13 gerhardhoh
         oldint <= interrupt;
220 10 gerhardhoh
           case statetag is
221
                  when inittag =>
222
                    for i in tagRAMIn'range loop
223
                           tagRAMIn(i).tagValid <= '0';
224
                           tagRAMIn(i).tag <= ( others => '0');
225
                           tagRAMIn(i).cacheValid <= '0';
226
                           tagRAMIn(i).cacheAddr <= ( others => '0');
227
                         end loop;
228
                         AddressInt <= std_ulogic_vector(initcount);
229
                         initcount <= initcount + 1;
230
                         if unsigned( not AddressInt) = 0 then
231
                      statetag <= startt;
232
                           writet <= '0';
233
                         else
234
                           writet <= '1';
235
                         end if;
236
                  when startt =>
237
                    if IOCode( 1 downto 0) /= "00" and AddressIn( AddressIn'high) = '0' then
238
                      -- request encountered
239
                                AddressInh <= AddressIn(AddressInh'range);
240 11 gerhardhoh
                                IOCodeh <= IOCode;
241 10 gerhardhoh
                      AddressInt <= AddressIn( AddressInt'range);
242
                                DataInh <= DataIn;
243
                      statetag <= startt1;
244
                    end if;
245
                  when startt1 =>
246
                    statetag <= tagtest;
247
                  when tagtest =>
248 11 gerhardhoh
          a := 15;
249 10 gerhardhoh
                    b := 15;
250 11 gerhardhoh
 
251
               for i in 0 to TagRAMarray'high loop
252
                      if tagRAMOut( i).tagValid = '1' then
253
                   if AddressInh(tagRAMout( i).tag'range) = tagRAMout( i).tag then
254 10 gerhardhoh
                          a := i; -- present
255 11 gerhardhoh
                                  end if;
256
                      else
257
                             b := i; -- free entry
258
                      end if;
259
               end loop;
260 10 gerhardhoh
 
261 11 gerhardhoh
                    found <= a;
262
                    free <= b;
263 15 gerhardhoh
 
264
               if ways  = 1 then
265
                 elim <= 0;
266
               else
267 16 gerhardhoh
                 elim <= to_integer( gal( ldways - 1 downto 0));
268 15 gerhardhoh
               end if;
269 16 gerhardhoh
 
270 10 gerhardhoh
                    if stateram = ramstart then
271
                      enableram <= '1';
272
                      statetag <= tagwait;
273
                         end if;
274
                  when tagwait =>
275
                    writet <= '0';
276
 
277 13 gerhardhoh
                    if interrupt = '1' and oldint = '0' then
278 10 gerhardhoh
                      enableram <= '0';
279
                           AddressInt <= toFlush;
280
                                statetag <= stateget;
281
                         elsif queuedone = '1' then
282
                      enableram <= '0';
283
                           statetag <= finish;
284
                         end if;
285
                  when stateget =>
286
                         statetag <= stateget1;
287
                  when stateget1 =>
288
                    enableram <= '1';
289
                         tagDummy <= tagRAMOut;
290
 
291
                         for i in tagRAMIn'range loop
292
                           if del = i then
293
                        tagRAMIn( i).tagvalid <= '0';
294
                             tagRAMIn( i).cacheValid <= '0';
295
                             tagRAMIn( i).tag <= ( others => '0');
296
                             tagRAMIn( i).cacheAddr <= ( others => '0');
297
                                  writet <= '1';
298
                           else
299
                             tagRAMIn( i) <= tagRAMOut( i);
300
                           end if;
301
                         end loop;
302
 
303
                         statetag <= tagwait;
304
                  when finish =>
305
                    if doneh = '1' then
306
                           tagRAMIn <= tagBuff;
307
                                writet <= '1';
308
                      AddressInt <= AddressInh( AddressInt'range);
309
                                done <= '1';
310
                      statetag <= finished;
311
                    end if;
312
                  when finished => -- NEW
313
                    writet <= '0';
314
                    done <= '0';
315
                    statetag <= startt;
316
                end case;
317
 
318
         for i in tagRAM'range loop
319
      DataInTag( i) := TagRAMIn( i).TagValid & TagRAMIn( i).Tag & TagRAMIn( i).cacheValid & TagRAMIn( i).cacheAddr;
320
 
321
           if writet = '1' then
322
                  tagRAM(i)(to_integer( AddressInt)) <= DataInTag( i);
323
                else
324
                  DataOutTag( i) := tagRAM(i)(to_integer( AddressInt));
325
 
326
             TagRAMOut( i).cacheAddr <= DataOutTag( i)( ldram - 1 downto 0);
327
             TagRAMOut( i).cacheValid <= DataOutTag( i)( ldram);
328
             TagRAMOut( i).Tag <= DataOutTag( i)( DataOutTag( 0)'high - 1 downto ldram + 1);
329
             TagRAMOut( i).TagValid <= DataOutTag( i)( DataOutTag( 0)'high);
330
                end if;
331
         end loop;
332
         end if;
333
  end if;
334
  end Process tagrams;
335
 
336
  dataram: process (nReset, Clock, enableram) is
337
  variable en, acc, hi: std_ulogic;
338
  variable f, g: std_ulogic_vector( CacheIn.FiFoAddr'length downto 0);
339
  variable a, b: RAMBuffer;
340
  variable index, index1: integer;
341
 
342 11 gerhardhoh
  variable address: std_ulogic_vector( ldram - 1 downto 0);
343
  variable uaddress: unsigned( ldram - 1 downto 0);
344 10 gerhardhoh
  variable datum:  std_ulogic_vector( FreeIn'range);
345 11 gerhardhoh
  variable w: std_ulogic;
346 10 gerhardhoh
  begin
347
  if rising_edge(Clock) then
348
    if nReset /= '1' then
349
           enablequeue <= '0';
350
           stateram <= raminit;
351
                writec <= '0';
352
                writeb <= '0';
353
                readb <= '0';
354
                getf <= '0';
355
                putf <= '0'; -- NEW inserted
356
                doneh <= '0';
357
                accinterrupt <= '0';
358
                accqueue <= '0';
359
                initcount1 <= ( others => '0');
360
                FreeIn <= ( others => '0');
361 11 gerhardhoh
                firstf <= ( others => '0');
362
                lastf <= ( others => '0');
363
                counterf <= ( others => '0');
364 10 gerhardhoh
         else
365 13 gerhardhoh
           hi := accinterrupt or (interrupt and not oldint);
366 10 gerhardhoh
                acc := accqueue or queuedone;
367 13 gerhardhoh
                en := enablequeue and not acc;
368 10 gerhardhoh
 
369
                if ldCachedWords = 0 then
370
                  index := 0;
371
                else
372
                  index := to_integer( AddressInh( ldCachedWords + 1 downto 2));
373
                end if;
374
 
375
           case stateram is
376
                  when raminit =>
377
                         FreeIn <= std_ulogic_vector( initcount1);
378
          initcount1    <= initcount1 + 1;
379
 
380
                         if unsigned( not FreeIn) = 0 then
381
                           stateram <= ramstart;
382
                           putf <= '0';
383
                         else
384
                           putf <= '1';
385
                         end if;
386
                  when ramstart =>
387
                    if enableram = '1' then -- UPDATE
388
                           tagBuff <= tagRAMOut;
389
                                if found /= 15 then
390 18 gerhardhoh
                                  cindex <= tagRAMOut( found).cacheAddr;
391 10 gerhardhoh
                                  stateram <= ramupdate;
392
                                elsif free /= 15 then
393
                                  en := '1';
394
                                  stateram <= ramwait;
395
                                else
396 18 gerhardhoh
                                  cindex <= tagRAMOut( elim).cacheAddr;
397 15 gerhardhoh
                                  stateram <= ramupdate;
398 10 gerhardhoh
                                end if;
399
                         end if;
400
                  when ramupdate =>
401
                    stateram <= ramupdate1;
402
                  when ramupdate1 =>
403
                    cacheIn <= cacheOut;
404
                         blockOut <= cacheOut.Words;
405
                         RecBuff <= cacheOut;
406
                         en := '1';
407
                         stateram <= ramwait;
408
                  when ramwait =>
409
                         doneh <= '0';
410
 
411
                    if hi = '1' then
412
                                stateram <= ramwait1;
413
                         elsif acc = '1' then
414
                           if found /= 15 then
415
                                  cindex <= tagBuff( found).cacheAddr;
416
                                  cacheIn <= RecBuff;
417
                                  blockOut <= RecBuff.Words;
418
                                  stateram <= ramupdate2;
419
                                elsif free /= 15 then
420
                                  cindex <= FreeOut;
421
                                  tagBuff( free).cacheAddr <= FreeOut;
422
                                  tagBuff( free).cacheValid <= '1';
423
                                  tagBuff( free).tag <= AddressInh( tagBuff( free).tag'range);
424
                                  tagBuff( free).tagValid <= '1';
425
                                  getf <= '1';
426
                                  if IOCodeh = "111" and ldCachedWords = 0 then
427
                                    stateram <= ramupdate2;
428
                                  else
429
                                    readb <= '1';
430
                               AddressOut <= AddressInh( AddressOut'range);
431
                                    stateram <= ramread;
432
                                  end if;
433
                                else
434
                                  cindex <= tagBuff( elim).cacheAddr;
435
                                  cacheIn <= RecBuff;
436
                                  blockOut <= RecBuff.Words;
437
                                  AddressOut <= tagBuff( elim).tag & AddressInh( AddressInt'range) & ( ldCachedWords + 1 downto 0 => '0');
438
                        writeb <= '1';
439
                                  stateram <= ramflush;
440
                                end if;
441
                         end if;
442
                  when ramwait1 =>
443
                         if del /= 15 and enableram = '1' then
444 11 gerhardhoh
                           if toflush = AddressInh( toflush'range) then -- inserted, tagline could match flushing tagline !!!!
445 10 gerhardhoh
                        tagBuff( del).tagvalid <= '0';
446
                             tagBuff( del).cacheValid <= '0';
447
                             tagBuff( del).tag <= ( others => '0');
448
                             tagBuff( del).cacheAddr <= ( others => '0');
449
                                end if;
450
                           cindex <= tagdummy( del).cacheAddr;
451
                                FreeIn <= tagdummy( del).cacheAddr;
452
                                putf <= tagdummy( del).cacheValid;
453
                           stateram <= ramclean;
454
                         end if;
455
                  when ramread =>
456
                    readb <= '0';
457
                         getf <= '0';
458
                    stateram <= ramread1;
459
                  when ramread1 =>
460
                    if readsh = '0' then
461
                           for i in blockIn'range loop
462
                                  cacheIn.Words( i) <= blockIn( i);
463
                                end loop;
464
                      stateram <= ramupdate2;
465
                         end if;
466
                  when ramupdate2 =>
467
                    if IOCodeh(2) = '1' then
468
                           if IOCodeh(1) = '1' then
469
                                  If IOCodeh(0) = '1' then
470
                                    cacheIn.Words( index).Word <= DataInh;
471
                                         cacheIn.Words( index).Modified <= "1111";
472
                                  elsif AddressInh(1) = '1' then
473
                                    cacheIn.Words( index).Word( 31 downto 16) <= DataInh( 15 downto 0);
474
                                         cacheIn.Words( index).Modified( 3 downto 2) <= "11";
475
                                  else
476
                                    cacheIn.Words( index).Word( 15 downto 0) <= DataInh( 15 downto 0);
477
                                         cacheIn.Words( index).Modified( 1 downto 0) <= "11";
478
                                  end if;
479
                                else
480
                                  if AddressInh(1) = '0' then
481
                                    if AddressInh(0) = '0' then
482
                                           cacheIn.Words( index).Word( 7 downto 0) <= DataInh( 7 downto 0);
483
                                                cacheIn.Words( index).Modified(0) <= '1';
484
                                    else
485
                                           cacheIn.Words( index).Word( 15 downto 8) <= DataInh( 7 downto 0);
486
                                                cacheIn.Words( index).Modified(1) <= '1';
487
                                         end if;
488
                                  else
489
                                    if AddressInh(0) = '0' then
490
                                           cacheIn.Words( index).Word( 23 downto 16) <= DataInh( 7 downto 0);
491
                                                cacheIn.Words( index).Modified(2) <= '1';
492
                                    else
493
                                           cacheIn.Words( index).Word( 31 downto 24) <= DataInh( 7 downto 0);
494
                                                cacheIn.Words( index).Modified(3) <= '1';
495
                                         end if;
496
                                  end if;
497
                                end if;
498
                         else
499
                           DataOut <= cacheIn.Words( index).Word;
500
                         end if;
501
 
502
                         cacheIn.FiFoAddr <= newFiFoAddr;
503
                         cacheIn.Am <= newAm;
504
 
505
                         getf <= '0';
506
                         writec <= '1';
507
                         doneh <= '1';
508
 
509
                         stateram <= ramupdate3;
510
                  when ramupdate3 =>
511
                    hi := '0';
512
                         acc := '0';
513
                         en := '0';
514
                         writec <= '0';
515
                    doneh <= '0';
516
                         stateram <= ramstart;
517
                  when ramclean =>
518
                    putf <= '0';
519
                    stateram <= ramclean1;
520
                  when ramclean1 =>
521
                         if del /= 15 then
522
                           blockOut <= cacheOut.words;
523
                                writeb <= tagdummy( del).tagValid;
524
                                AddressOut <= tagdummy( del).tag & toFlush & ( ldCachedWords + 1 downto 0 => '0');
525
                           stateram <= ramflush;
526
                         end if;
527
                  when ramflush =>
528
                    writeb <= '0';
529
                         for i in blockIn'range loop
530
                      cacheIn.Words( i).Word <= ( others => '0');
531
                           cacheIn.Words( i).Modified <= ( others => '0');
532
                         end loop;
533
 
534
                         stateram <= ramflush1;
535
                  when ramflush1 =>
536
                         if writesh = '0' then
537
                           if del /= 15 and hi = '1' then
538
                                  hi := '0';
539
                             stateram <= ramwait;
540
                                else
541
                                  tagBuff( elim).tag <= AddressInh( tagBuff( elim).tag'range);
542
                                  tagBuff( elim).tagValid <= '1';
543
                                  if IOCodeh = "111" and ldCachedWords = 0 then
544
                                    stateram <= ramupdate2;
545
                                  else
546
                                    readb <= '1';
547
                                    AddressOut <= AddressInh( AddressOut'range);
548
                                    stateram <= ramread;
549
                                  end if;
550
                                end if;
551
                         end if;
552
                end case;
553
 
554
                accinterrupt <= hi;
555
                enablequeue <= en;
556
                accqueue <= acc;
557
 
558
         f := CacheIn.Am & CacheIn.FiFoAddr;
559
         if writec = '1' then
560
           Ax( to_integer( cindex)) <= f;
561
         else
562
           g := Ax( to_integer( cindex));
563
                CacheOut.FiFoAddr <= g( g'high - 1 downto g'low);
564
                CacheOut.Am <= g( g'high);
565
         end if;
566
 
567
         for i in RAMBuffer'range loop
568
           a( i) := CacheIn.Words( i).Modified & CacheIn.Words( i).Word;
569
                if writec = '1' then
570
                  RAMs( i)( to_integer( cindex)) <= a( i);
571
                else
572
                  b( i) := RAMs( i)( to_integer( cindex));
573
                  CacheOut.Words( i).Word <= b( i)( 31 downto 0);
574
                  CacheOut.Words( i).Modified <= b( i)( 35 downto 32);
575
                end if;
576
         end loop;
577
 
578 11 gerhardhoh
         if putf = '1' then
579
           address := std_ulogic_vector( firstf);
580
                datum := FreeIn;
581
                firstf <= firstf + 1;
582
                counterf <= counterf + 1;
583 10 gerhardhoh
                w := '1';
584
         else
585 11 gerhardhoh
           uaddress := lastf;
586
           if getf = '1' and counterf /= 0 then
587 10 gerhardhoh
             counterf <= counterf - 1;
588 11 gerhardhoh
                  uaddress := uaddress + 1;
589 10 gerhardhoh
           end if;
590 11 gerhardhoh
                lastf <= uaddress;
591 10 gerhardhoh
                address := std_ulogic_vector( uaddress);
592 11 gerhardhoh
                w := '0';
593
         end if;
594 10 gerhardhoh
 
595
         if w = '1' then
596 11 gerhardhoh
           ramf( to_integer( address)) <= datum;
597 10 gerhardhoh
         else
598 11 gerhardhoh
           FreeOut <= ramf( to_integer( address));
599
         end if;
600 10 gerhardhoh
 
601
         end if;
602
  end if;
603
  end process dataram;
604
 
605
  emptyf <= '1' when counterf = 0 else '0';
606
 
607
  queues: process( nReset, Clock, enablequeue) is
608
  variable acc, hi: std_ulogic;
609
  variable A1OutBuff, AmOutBuff: std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
610 11 gerhardhoh
  variable addressA1: std_ulogic_vector( ldqueuelength - 1 downto 0);
611
  variable diff, uaddressA1: unsigned( ldqueuelength - 1 downto 0);
612 10 gerhardhoh
  variable datumA1:  std_ulogic_vector( A1OutBuff'range);
613 11 gerhardhoh
  variable wA1: std_ulogic;
614
  variable addressAm: std_ulogic_vector( ldqueuelength - 1 downto 0);
615
  variable uaddressAm: unsigned( ldqueuelength - 1 downto 0);
616 10 gerhardhoh
  variable datumAm:  std_ulogic_vector( AmOutBuff'range);
617 11 gerhardhoh
  variable wAm: std_ulogic;
618 10 gerhardhoh
  begin
619
  if rising_edge(Clock) then
620
    if nReset /= '1' then
621
                del <= 15;
622
           statequeue <= queuestart;
623
           queuedone <= '0';
624
                interrupt <= '0';
625
                accdone <= '0';
626
                preempted <= '0';
627 11 gerhardhoh
                firstA1 <= ( others => '0');
628
                A1Outaddr <= ( others => '0');
629
                lastA1 <= ( others => '0');
630 10 gerhardhoh
                counterA1 <= ( others => '0');
631 11 gerhardhoh
                firstAm <= ( others => '0');
632
                AmOutaddr <= ( others => '0');
633
                lastAm <= ( others => '0');
634 10 gerhardhoh
                counterAm <= ( others => '0');
635
                getA1 <= '0'; -- NEW
636
                getAm <= '0'; -- NEW
637
                removeA1 <= '0'; -- NEW
638
                removeAm <= '0'; -- NEW
639
                putA1 <= '0'; -- NEW
640 11 gerhardhoh
                putAm <= '0'; -- NEW
641 13 gerhardhoh
           serviced <= '0';
642 10 gerhardhoh
         else
643 13 gerhardhoh
           hi := interrupt;
644 10 gerhardhoh
                acc := accdone or doneh;
645
 
646
                diff := firstA1 - unsigned( RecBuff.FiFoAddr);
647
 
648
           case statequeue is
649
                  when queuestart =>
650
                         getA1 <= '0';
651
 
652
                    if enablequeue = '1' then
653
                           if found /= 15 then
654
                                  if RecBuff.Am = '1' or                                -- in Am
655
                                    ( RecBuff.Am = '0' and diff( diff'high) = '0') then -- in lower half of A1
656
                                    queuedone <= '1';
657
                                         newFiFoAddr <= RecBuff.FiFoAddr;
658
                                         newAm <= RecBuff.Am;
659
                               statequeue <= queuewait;
660
                                  elsif fullAm = '1' then
661
                                    -- Am full
662
                                         if AmOut.valid = '1' then
663
                                           del <= to_integer( AmOut.way);
664
                                                toFlush <= AmOut.word;
665
                                                getAm <= '1';
666
                                           hi := '1';
667
                                           statequeue <= queuewait;
668
                                         end if;
669
                                  else
670
                                    AmIn.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
671
                                         AmIn.way <= std_ulogic_vector(to_unsigned( found, ldways + 1));
672
                                         AmIn.valid <= '1';
673
                                         putAm <= '1';
674
                                         A1Inaddr <= RecBuff.FiFoAddr;
675
                                         removeA1 <= '1';
676
                                         statequeue <= queuewaitAm1;
677
                                  end if;
678
                                elsif free /= 15 then
679 13 gerhardhoh
                                  if fullA1 = '1' or (emptyf = '1' and emptyA1 = '0' and serviced = '0') then
680 10 gerhardhoh
                                    -- remove last entry from A1
681
                                         if A1Out.valid = '1' then
682
                                           del <= to_integer( A1Out.way);
683
                                           toFlush <= A1Out.word;
684
                                           getA1 <= '1';
685
                                           hi := '1';
686 13 gerhardhoh
                              serviced <= '1';
687 10 gerhardhoh
                                           statequeue <= queuewait;
688
                                         end if;
689 13 gerhardhoh
                                  elsif fullAm = '1' and emptyf = '1' and serviced = '0' then
690 10 gerhardhoh
                                    -- remove last entry from Am
691
                                         if AmOut.valid = '1' then
692
                                           del <= to_integer( AmOut.way);
693
                                           toFlush <= AmOut.word;
694
                                           getAm <= '1';
695
                                           hi := '1';
696 13 gerhardhoh
                              serviced <= '1';
697 10 gerhardhoh
                                           statequeue <= queuewait;
698
                                         end if;
699
                                  else
700
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
701
                                         A1In.way <= std_ulogic_vector(to_unsigned( free, ldways + 1));
702
                                         A1In.valid <= '1';
703
                                         putA1 <= '1';
704 13 gerhardhoh
                            serviced <= '0';
705 10 gerhardhoh
                                         statequeue <= queuewaitA11;
706
                                  end if;
707
                                elsif elim /= 15 then
708
                                  if fullA1 = '1' then
709
                                    if A1Out.valid = '1' then
710
                                           if not ( to_integer( A1Out.way) = elim and
711
                                                        A1Out.word = AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords)) then
712
                                             del <= to_integer( A1Out.way);
713
                                             toFlush <= A1Out.word;
714
                                             statequeue <= queueelim;
715
                                           end if;
716
 
717
                                           getA1 <= '1';
718
                                         end if;
719
                                  else
720 12 gerhardhoh
                            if getA1 = '1' then
721
                              preempted <= '1';
722
                            end if;
723 10 gerhardhoh
                                         getA1 <= '0'; -- NEW, inserted the only bug!!!!!!!!!!!!!!
724
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
725
                                         A1In.way <= std_ulogic_vector(to_unsigned( elim, ldways + 1));
726
                                         A1In.valid <= '1';
727
                                         putA1 <= '1';
728
                                         statequeue <= queueelim;
729
                                  end if;
730
                                end if;
731
                         end if;
732
                  when queuewait =>
733
                         removeA1 <= '0';
734
                         removeAm <= '0';
735
                    getAm <= '0';
736
                    getA1 <= '0';
737 14 gerhardhoh
                         queuedone <= '0';
738 10 gerhardhoh
 
739 13 gerhardhoh
             if hi = '1' then
740
                   hi := '0';
741
                           statequeue <= queuestart;
742
               elsif acc = '1' then
743 10 gerhardhoh
                           acc := '0';
744
                                del <= 15;
745
                           statequeue <= queuestart;
746
                         end if;
747
                  when queuewaitAm1 =>
748
                    putAm <= '0';
749
                         removeA1 <= '0';
750
                         statequeue <= queuewaitAm2;
751
                  when queuewaitAm2 =>
752
                         newFiFoAddr <= AmOutAddr;
753
                         newAm <= '1';
754
                         queuedone <= '1';
755
                         statequeue <= queuewait;
756
                  when queuewaitA11 =>
757
                    putA1 <= '0';
758
                         statequeue <= queuewaitA12;
759
                  when queuewaitA12 =>
760
                         newFiFoAddr <= A1OutAddr;
761
                         newAm <= '0';
762
                         removeA1 <= '0';
763
                         removeAm <= '0';
764
                         queuedone <= '1';
765
                    preempted <= '0';
766
                         statequeue <= queuewait;
767
                  when queueelim =>
768
                    putA1 <= '0';
769
                         getA1 <= '0';
770
 
771
                         if RecBuff.Am = '1' and preempted = '0' then
772
                           AmInAddr <= RecBuff.FiFoAddr;
773
                           removeAm <= '1';
774
                         elsif preempted = '0' then
775
                           A1InAddr <= RecBuff.FiFoAddr;
776
                           removeA1 <= '1';
777
                         end if;
778
 
779
                         if getA1 = '1' then
780
                           hi := '1';
781
                                preempted <= '1';
782
                           statequeue <= queuewait;
783
                         else
784
                           statequeue <= queuewaitA12;
785
                         end if;
786
                end case;
787
 
788
                interrupt <= hi;
789
                accdone <= acc;
790
 
791 11 gerhardhoh
         if putA1 = '1' or removeA1 = '1' then
792
           if removeA1 = '0' then
793
             addressA1 := std_ulogic_vector( firstA1);
794 10 gerhardhoh
                  datumA1 := A1In.valid & A1In.way & A1In.Word;
795 11 gerhardhoh
                  firstA1 <= firstA1 + 1;
796
                  counterA1 <= counterA1 + 1;
797
                  A1Outaddr <= std_ulogic_vector( firstA1);
798
                else
799
                  addressA1 := A1Inaddr( addressA1'range);
800
                  datumA1 := ( others => '0');
801 10 gerhardhoh
                end if;
802 11 gerhardhoh
                wA1 := '1';
803
         else
804
           uaddressA1 := lastA1;
805
           if (getA1 = '1' or A1Out.valid = '0') and counterA1 /= 0 then
806
             counterA1 <= counterA1 - 1;
807
             uaddressA1 := uaddressA1 + 1;
808 10 gerhardhoh
           end if;
809
           lastA1 <= uaddressA1;
810
           addressA1 := std_ulogic_vector( uaddressA1);
811 11 gerhardhoh
           wA1 := '0';
812 10 gerhardhoh
         end if;
813
 
814
         if wA1 = '1' then
815 11 gerhardhoh
           ramA1( to_integer( addressA1)) <= datumA1;
816 10 gerhardhoh
         else
817 11 gerhardhoh
           A1OutBuff := ramA1( to_integer( addressA1));
818 10 gerhardhoh
 
819
      A1Out.Word <= A1OutBuff( blocksizeld - 1 downto 0);
820
      A1Out.way <= A1OutBuff( blocksizeld + ldways downto blocksizeld);
821
                A1Out.valid <= A1OutBuff( blocksizeld + ldways + 1);
822 11 gerhardhoh
         end if;
823 10 gerhardhoh
 
824 11 gerhardhoh
         if putAm = '1' or removeAm = '1' then
825
           if removeAm = '0' then
826
             addressAm := std_ulogic_vector( firstAm);
827 10 gerhardhoh
                  datumAm := AmIn.valid & AmIn.way & AmIn.Word;
828 11 gerhardhoh
                  firstAm <= firstAm + 1;
829
                  counterAm <= counterAm + 1;
830
                  AmOutaddr <= std_ulogic_vector( firstAm);
831
                else
832
                  addressAm := AmInaddr( addressAm'range);
833
                  datumAm := ( others => '0');
834 10 gerhardhoh
                end if;
835 11 gerhardhoh
                wAm := '1';
836
         else
837
           uaddressAm := lastAm;
838
           if (getAm = '1' or AmOut.valid = '0') and counterAm /= 0 then
839
             counterAm <= counterAm - 1;
840
             uaddressAm := uaddressAm + 1;
841 10 gerhardhoh
           end if;
842
           lastAm <= uaddressAm;
843
           addressAm := std_ulogic_vector( uaddressAm);
844 11 gerhardhoh
           wAm := '0';
845 10 gerhardhoh
         end if;
846 11 gerhardhoh
 
847 10 gerhardhoh
         if wAm = '1' then
848 11 gerhardhoh
           ramAm( to_integer( addressAm)) <= datumAm;
849 10 gerhardhoh
         else
850
           AmOutBuff := ramAm( to_integer( addressAm));
851 11 gerhardhoh
 
852 10 gerhardhoh
      AmOut.Word <= AmOutBuff( blocksizeld - 1 downto 0);
853
      AmOut.way <= AmOutBuff( blocksizeld + ldways downto blocksizeld);
854
                AmOut.valid <= AmOutBuff( blocksizeld + ldways + 1);
855
         end if;
856 11 gerhardhoh
         end if;
857 10 gerhardhoh
  end if;
858
  end process queues;
859
 
860
  fullA1 <= counterA1( counterA1'high);
861 11 gerhardhoh
  emptyA1 <= '1' when counterA1 = 0 else '0';
862 10 gerhardhoh
 
863
  fullAm <= counterAm( counterAm'high);
864 11 gerhardhoh
  emptyAm <= '1' when counterAm = 0 else '0';
865 10 gerhardhoh
 
866 11 gerhardhoh
end Rtl;
867
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.