OpenCores
URL https://opencores.org/ocsvn/mytwoqcache/mytwoqcache/trunk

Subversion Repositories mytwoqcache

[/] [mytwoqcache/] [trunk/] [2QCache.vhd] - Blame information for rev 20

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 gerhardhoh
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    07:41:47 12/14/2010 
6
-- Design Name: 
7
-- Module Name:    Cache - Rtl 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE, work;
21
use IEEE.std_logic_1164.all;
22
use IEEE.std_logic_arith.all;
23
use work.global.all;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity Cache is
31
  generic( constant blocksizeld: integer := 11;
32
                          constant ldways: integer := 1;
33
                          constant ldCachedWords: integer := 2);
34
  port( nReset: in std_ulogic;                                          -- System reset active low
35
        Clock: in std_ulogic;                                           -- System Clock
36 10 gerhardhoh
                  AddressIn: in std_ulogic_vector(RAMrange'high + 1 downto 0);    -- Address of memory fetch
37 11 gerhardhoh
                  DataIn: in std_ulogic_vector( 31 downto 0);                     -- Data to write
38
             IOCode: in std_ulogic_vector(2 downto 0);                                           -- operation
39 10 gerhardhoh
                                                                                  -- Bit
40
                                                                                                                                                                                                --  2    0 read
41
                                                                                                                                                                                                --       1 write
42
                                                                                                                                                                                                -- 1 0   11 word
43
                                                                                                                                                                                                --       10 halfword
44
                                                                                                                                                                                                --       01 single byte
45 11 gerhardhoh
                                                                                                                                                                                                --       00 no operation
46
                  DataOut: out std_ulogic_vector( 31 downto 0);                   -- Data read
47 10 gerhardhoh
                  done: out std_ulogic;
48 11 gerhardhoh
                  -- memory interface
49
                  AddressOut: out std_ulogic_vector(RAMrange'high downto 0);        -- memory address
50
                  DataBlockIn: in std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0);   -- data from memory
51
                  reads: out std_ulogic;                                                      -- read memory
52
                  DataBlockOut: out std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0); -- data to memory
53
                  Mask: out std_ulogic_vector( 2 ** ldCachedWords * 4 - 1 downto 0);          -- enables for each byte active low
54 10 gerhardhoh
                  writes: out std_ulogic;                                                     -- write memory
55 11 gerhardhoh
                  ack: in std_ulogic                                                          -- acknowledge from memory
56
                );
57
end Cache;
58
 
59 10 gerhardhoh
architecture Rtl of Cache is
60
constant ways: integer := 2 ** ldways;
61
constant ldram: integer := blocksizeld + ldways - 1;
62
constant ldqueuelength: integer := ldram;
63
 
64 11 gerhardhoh
type IOType is ( Start, busy);
65
type tType is ( inittag, startt, startt1, tagtest, tagwait, stateget, stateget1, finish, finished);
66 18 gerhardhoh
type rType is ( raminit, ramstart, ramread, ramread1, ramupdate,
67 11 gerhardhoh
                ramupdate1, ramupdate2, ramupdate3, ramflush, ramflush1, ramwait, ramwait1, ramclean, ramclean1);
68
type fType is ( queuestart, queuewait, queuewaitAm1, queuewaitAm2, queuewaitA11, queuewaitA12, queueelim);
69
subtype myint is natural range 15 downto 0;
70
type TagRAMType is record
71
  cacheAddr: std_ulogic_vector( ldram - 1 downto 0);
72
  cacheValid: std_ulogic;
73
  Tag: std_ulogic_vector( RAMrange'high downto 2 + ldCachedWords + blocksizeld);
74
  TagValid: std_ulogic;
75 10 gerhardhoh
end record;
76
type WordType is record
77 11 gerhardhoh
  Word: std_ulogic_vector(31 downto 0);
78 10 gerhardhoh
  Modified: std_ulogic_vector( 3 downto 0);
79
end record;
80 11 gerhardhoh
type WordArray is array ( 2 ** ldCachedWords - 1 downto 0) of WordType;
81
type CacheType is record
82 10 gerhardhoh
  Words: WordArray;
83
  FiFoaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
84 11 gerhardhoh
  Am: std_ulogic;                                                        -- redifined and renamed
85
end record;
86 10 gerhardhoh
type FiFoType is record
87
  Word: std_ulogic_vector( blocksizeld - 1 downto 0);
88
  way: std_ulogic_vector( ldways downto 0);
89
  valid: std_ulogic;
90
end record;
91
 
92
type TagRAMarray is array ( ways - 1 downto 0) of TagRAMType;
93
type TagBuffer is array ( ways - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
94
type TagFile is array ( 2 ** blocksizeld - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
95
type TagFiles is array ( ways - 1 downto 0) of TagFile;
96
 
97
type RAMFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( 35 downto 0);
98
type RAMFiles is array ( 2 ** ldCachedWords - 1 downto 0) of RAMFile;
99
type RAMBuffer is array ( 2 ** ldCachedWords - 1 downto 0) of std_ulogic_vector( 35 downto 0);
100 11 gerhardhoh
type AFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldqueuelength downto 0); -- redimensioned
101 10 gerhardhoh
 
102
type myarrayf is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldram - 1 downto 0);
103
type myarrayA is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
104
 
105
signal RAMs: RAMFiles;
106
signal Ax: AFile;
107 11 gerhardhoh
signal tagRAM: TagFiles;
108 10 gerhardhoh
signal tagdummy, tagBuff, TagRAMIn, TagRAMOut: TagRAMarray;
109
signal RecBuff, CacheIn, CacheOut: CacheType;
110
signal blockIn, blockOut: WordArray;
111
signal DataInh: std_ulogic_vector( 31 downto 0);
112
signal A1In, A1Out, AmIn, AmOut: FiFoType;
113
signal putA1, removeA1, getA1, emptyA1, fullA1: std_ulogic;
114
signal putAm, removeAm, getAm, emptyAm, fullAm: std_ulogic;
115
signal A1Inaddr, A1Outaddr, AmInaddr, AmOutaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
116
signal emptyf, getf, putf: std_ulogic;
117 11 gerhardhoh
signal cindex, FreeOut, FreeIn: std_ulogic_vector( ldram - 1 downto 0);
118
signal ramf: myarrayf;
119
signal counterf: unsigned( ldram downto 0);
120 10 gerhardhoh
signal firstf, lastf: unsigned( ldram - 1 downto 0);
121
signal newFiFoAddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
122 11 gerhardhoh
signal newAm: std_ulogic;  -- redifined and renamed
123 10 gerhardhoh
signal initcount: unsigned( blocksizeld - 1 downto 0);
124
signal initcount1: unsigned( ldram - 1 downto 0);
125 11 gerhardhoh
signal ramA1: myarrayA;
126
signal counterA1: unsigned( ldqueuelength downto 0);
127
signal firstA1, lastA1: unsigned( ldqueuelength - 1 downto 0);
128
signal ramAm: myarrayA;
129
signal counterAm: unsigned( ldqueuelength downto 0);
130
signal firstAm, lastAm: unsigned( ldqueuelength - 1 downto 0);
131 10 gerhardhoh
 
132
signal AddressInh: std_ulogic_vector( AddressIn'high -1 downto 0);
133 11 gerhardhoh
signal IOCodeh: std_ulogic_vector( IOCode'range);
134
signal toFlush, AddressInt: std_ulogic_vector( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
135
signal found, free, elim, del: myint;
136 10 gerhardhoh
signal stateIO: IOType;
137
signal statetag: tType;
138
signal stateram: rType;
139
signal statequeue: fType;
140 20 gerhardhoh
signal enableram, enablequeue, queuedone, readsh, writesh, doneh, preempted, isfull, flag,
141 13 gerhardhoh
       interrupt, readb, writeb, writec, writet, accdone, accqueue, accinterrupt, serviced, oldint: std_ulogic;
142 15 gerhardhoh
signal gal: std_ulogic_vector( 7 downto 0);
143 11 gerhardhoh
 
144
begin
145 10 gerhardhoh
 
146 11 gerhardhoh
 
147
 
148 10 gerhardhoh
  blockIO: process( nReset, Clock, readb, writeb) is
149
  variable s: std_ulogic;
150
  begin
151
    if nReset /= '1' then
152
           writesh <= '0';
153
                readsh <= '0';
154
                stateIO <= start;
155
    elsif rising_edge(Clock) then
156
           case stateIO is
157
                when start =>
158
                  if readb = '1' then
159
                         Mask <= ( others => '1');
160
                         readsh <= '1';
161
                    stateIO <= busy;
162
                  elsif writeb = '1' then
163
                    s := '0';
164
 
165
                    for i in blockOut'range loop
166
                      DataBlockOut( ( i + 1) * 32 - 1 downto i * 32) <= blockOut( i).word;
167
                           Mask( ( i + 1) * 4 - 1 downto i * 4) <= not blockOut( i).Modified;
168
                                s := s or blockOut( i).Modified(0) or blockOut( i).Modified(1) or
169
                                          blockOut( i).Modified(2) or blockOut( i).Modified(3);
170
                         end loop;
171
 
172
                         writesh <= s;
173
 
174
                         if s = '1' then
175
                      stateIO <= busy;
176
                         end if;
177
                  end if;
178
                when busy =>
179
                  if ack = '1' then
180
                    stateIO <= start;
181
 
182
                    if readsh = '1' then
183
                           for i in blockIn'range loop
184
                        blockIn( i).word <= DataBlockIn( ( i + 1) * 32 - 1 downto i * 32);
185
                                  blockIn( i).Modified <= ( others => '0');
186
                                end loop;
187
                    end if;
188
 
189
                    readsh <= '0';
190
                    writesh <= '0';
191
                  end if;
192
                end case;
193
         end if;
194
  end process blockIO;
195
 
196
  writes <= writesh;
197
  reads <= readsh;
198
 
199
  tagrams: process ( nReset, Clock) is
200
  variable a, b, d: myint;
201 11 gerhardhoh
  variable DataInTag, DataOutTag: TagBuffer;
202 10 gerhardhoh
  begin
203
  if rising_edge(Clock) then
204
    if nReset /= '1' then
205
           statetag <= inittag;
206
                writet <= '0';
207
                enableram <= '0';
208 13 gerhardhoh
           oldint <= '0';
209 10 gerhardhoh
                found <= 15;
210
                free <= 15;
211
                done <= '0'; -- NEW
212
                initcount <= ( others => '0');
213
                AddressInt <= ( others => '0');
214
                IOCodeh <= ( others => '0');
215
                AddressInh <= ( others => '0');
216 15 gerhardhoh
           gal <= ( others => '1');
217 10 gerhardhoh
         else
218 15 gerhardhoh
            gal <= gal( 6 downto 4) & ( gal( 3) xor gal( 7)) & ( gal( 2) xor gal( 7)) & ( gal( 1) xor gal( 7)) & gal( 0) & gal( 7);
219 13 gerhardhoh
         oldint <= interrupt;
220 10 gerhardhoh
           case statetag is
221
                  when inittag =>
222
                    for i in tagRAMIn'range loop
223
                           tagRAMIn(i).tagValid <= '0';
224
                           tagRAMIn(i).tag <= ( others => '0');
225
                           tagRAMIn(i).cacheValid <= '0';
226
                           tagRAMIn(i).cacheAddr <= ( others => '0');
227
                         end loop;
228
                         AddressInt <= std_ulogic_vector(initcount);
229
                         initcount <= initcount + 1;
230
                         if unsigned( not AddressInt) = 0 then
231
                      statetag <= startt;
232
                           writet <= '0';
233
                         else
234
                           writet <= '1';
235
                         end if;
236
                  when startt =>
237
                    if IOCode( 1 downto 0) /= "00" and AddressIn( AddressIn'high) = '0' then
238
                      -- request encountered
239
                                AddressInh <= AddressIn(AddressInh'range);
240 11 gerhardhoh
                                IOCodeh <= IOCode;
241 10 gerhardhoh
                      AddressInt <= AddressIn( AddressInt'range);
242
                                DataInh <= DataIn;
243
                      statetag <= startt1;
244
                    end if;
245
                  when startt1 =>
246
                    statetag <= tagtest;
247
                  when tagtest =>
248 11 gerhardhoh
          a := 15;
249 10 gerhardhoh
                    b := 15;
250 11 gerhardhoh
 
251
               for i in 0 to TagRAMarray'high loop
252
                      if tagRAMOut( i).tagValid = '1' then
253
                   if AddressInh(tagRAMout( i).tag'range) = tagRAMout( i).tag then
254 10 gerhardhoh
                          a := i; -- present
255 11 gerhardhoh
                                  end if;
256
                      else
257
                             b := i; -- free entry
258
                      end if;
259
               end loop;
260 10 gerhardhoh
 
261 11 gerhardhoh
                    found <= a;
262
                    free <= b;
263 15 gerhardhoh
 
264 20 gerhardhoh
            if ways  = 1 then
265
              elim <= 0;
266
            else
267
              elim <= to_integer( gal( ldways - 1 downto 0));
268
            end if;
269 16 gerhardhoh
 
270 10 gerhardhoh
                    if stateram = ramstart then
271
                      enableram <= '1';
272
                      statetag <= tagwait;
273
                         end if;
274
                  when tagwait =>
275
                    writet <= '0';
276
 
277 13 gerhardhoh
                    if interrupt = '1' and oldint = '0' then
278 10 gerhardhoh
                      enableram <= '0';
279 20 gerhardhoh
                          AddressInt <= toFlush;
280
                          statetag <= stateget;
281
                        elsif queuedone = '1' then
282 10 gerhardhoh
                      enableram <= '0';
283 20 gerhardhoh
                          statetag <= finish;
284
                        end if;
285 10 gerhardhoh
                  when stateget =>
286
                         statetag <= stateget1;
287
                  when stateget1 =>
288 20 gerhardhoh
                     enableram <= '1';
289 10 gerhardhoh
                         tagDummy <= tagRAMOut;
290
 
291
                         for i in tagRAMIn'range loop
292
                           if del = i then
293 20 gerhardhoh
                         tagRAMIn( i).tagvalid <= '0';
294 10 gerhardhoh
                             tagRAMIn( i).cacheValid <= '0';
295
                             tagRAMIn( i).tag <= ( others => '0');
296
                             tagRAMIn( i).cacheAddr <= ( others => '0');
297 20 gerhardhoh
                                 writet <= '1';
298 10 gerhardhoh
                           else
299
                             tagRAMIn( i) <= tagRAMOut( i);
300
                           end if;
301
                         end loop;
302
 
303
                         statetag <= tagwait;
304
                  when finish =>
305
                    if doneh = '1' then
306
                           tagRAMIn <= tagBuff;
307 20 gerhardhoh
                           writet <= '1';
308
                       AddressInt <= AddressInh( AddressInt'range);
309
                           done <= '1';
310
                       statetag <= finished;
311 10 gerhardhoh
                    end if;
312
                  when finished => -- NEW
313
                    writet <= '0';
314
                    done <= '0';
315
                    statetag <= startt;
316
                end case;
317
 
318
         for i in tagRAM'range loop
319
      DataInTag( i) := TagRAMIn( i).TagValid & TagRAMIn( i).Tag & TagRAMIn( i).cacheValid & TagRAMIn( i).cacheAddr;
320
 
321
           if writet = '1' then
322
                  tagRAM(i)(to_integer( AddressInt)) <= DataInTag( i);
323
                else
324
                  DataOutTag( i) := tagRAM(i)(to_integer( AddressInt));
325
 
326
             TagRAMOut( i).cacheAddr <= DataOutTag( i)( ldram - 1 downto 0);
327
             TagRAMOut( i).cacheValid <= DataOutTag( i)( ldram);
328
             TagRAMOut( i).Tag <= DataOutTag( i)( DataOutTag( 0)'high - 1 downto ldram + 1);
329
             TagRAMOut( i).TagValid <= DataOutTag( i)( DataOutTag( 0)'high);
330
                end if;
331
         end loop;
332
         end if;
333
  end if;
334
  end Process tagrams;
335
 
336
  dataram: process (nReset, Clock, enableram) is
337
  variable en, acc, hi: std_ulogic;
338
  variable f, g: std_ulogic_vector( CacheIn.FiFoAddr'length downto 0);
339
  variable a, b: RAMBuffer;
340
  variable index, index1: integer;
341
 
342 11 gerhardhoh
  variable address: std_ulogic_vector( ldram - 1 downto 0);
343
  variable uaddress: unsigned( ldram - 1 downto 0);
344 10 gerhardhoh
  variable datum:  std_ulogic_vector( FreeIn'range);
345 11 gerhardhoh
  variable w: std_ulogic;
346 10 gerhardhoh
  begin
347
  if rising_edge(Clock) then
348
    if nReset /= '1' then
349
           enablequeue <= '0';
350
           stateram <= raminit;
351
                writec <= '0';
352
                writeb <= '0';
353
                readb <= '0';
354
                getf <= '0';
355
                putf <= '0'; -- NEW inserted
356
                doneh <= '0';
357
                accinterrupt <= '0';
358
                accqueue <= '0';
359 20 gerhardhoh
                isfull <= '0';
360
                flag <= '0';
361 10 gerhardhoh
                initcount1 <= ( others => '0');
362
                FreeIn <= ( others => '0');
363 11 gerhardhoh
                firstf <= ( others => '0');
364
                lastf <= ( others => '0');
365
                counterf <= ( others => '0');
366 10 gerhardhoh
         else
367 13 gerhardhoh
           hi := accinterrupt or (interrupt and not oldint);
368 10 gerhardhoh
                acc := accqueue or queuedone;
369 13 gerhardhoh
                en := enablequeue and not acc;
370 10 gerhardhoh
 
371
                if ldCachedWords = 0 then
372
                  index := 0;
373
                else
374
                  index := to_integer( AddressInh( ldCachedWords + 1 downto 2));
375
                end if;
376
 
377
           case stateram is
378
                  when raminit =>
379
                         FreeIn <= std_ulogic_vector( initcount1);
380 20 gerhardhoh
             initcount1 <= initcount1 + 1;
381
 
382 10 gerhardhoh
                         if unsigned( not FreeIn) = 0 then
383
                           stateram <= ramstart;
384
                           putf <= '0';
385
                         else
386
                           putf <= '1';
387
                         end if;
388
                  when ramstart =>
389 20 gerhardhoh
                     if enableram = '1' then -- UPDATE
390
                           if isfull = '0' then
391
                             tagBuff <= tagRAMOut;
392
                           end if;
393
                           if found /= 15 then
394 18 gerhardhoh
                                  cindex <= tagRAMOut( found).cacheAddr;
395 20 gerhardhoh
                                  isfull <= '0';
396 10 gerhardhoh
                                  stateram <= ramupdate;
397 20 gerhardhoh
                           elsif free /= 15 then
398 10 gerhardhoh
                                  en := '1';
399 20 gerhardhoh
                                  if emptyf = '1' and isfull = '0' then
400
                                    isfull <= '1';
401
                                    stateram <= ramwait;
402
                                  else
403
                                    cindex <= FreeOut;
404
                                    tagBuff( free).cacheAddr <= FreeOut;
405
                                    tagBuff( free).cacheValid <= '1';
406
                                    tagBuff( free).tag <= AddressInh( tagBuff( free).tag'range);
407
                                    tagBuff( free).tagValid <= '1';
408
                                    isfull <= '0';
409
                                    getf <= '1';
410
                                    if IOCodeh = "111" and ldCachedWords = 0 then
411
                                      stateram <= ramupdate2;
412
                                    else
413
                                      readb <= '1';
414
                                  AddressOut <= AddressInh( AddressOut'range);
415
                                      stateram <= ramread;
416
                                    end if;
417
                                  end if;
418 10 gerhardhoh
                                else
419 18 gerhardhoh
                                  cindex <= tagRAMOut( elim).cacheAddr;
420 20 gerhardhoh
                                  isfull <= '0';
421 15 gerhardhoh
                                  stateram <= ramupdate;
422 10 gerhardhoh
                                end if;
423
                         end if;
424
                  when ramupdate =>
425
                    stateram <= ramupdate1;
426
                  when ramupdate1 =>
427 20 gerhardhoh
                     cacheIn <= cacheOut;
428 10 gerhardhoh
                         blockOut <= cacheOut.Words;
429
                         RecBuff <= cacheOut;
430
                         en := '1';
431 20 gerhardhoh
                         if found /= 15 then
432
                           stateram <= ramupdate2;
433
                         else
434
                           AddressOut <= tagBuff( elim).tag & AddressInh( AddressInt'range) & ( ldCachedWords + 1 downto 0 => '0');
435
                       writeb <= '1';
436
                           flag <= '1';
437
                           stateram <= ramflush;
438
                         end if;
439 10 gerhardhoh
                  when ramwait =>
440
                    if hi = '1' then
441 20 gerhardhoh
                          stateram <= ramwait1;
442
                        end if;
443 10 gerhardhoh
                  when ramwait1 =>
444 20 gerhardhoh
                         writec <= '0';
445
 
446 10 gerhardhoh
                         if del /= 15 and enableram = '1' then
447 11 gerhardhoh
                           if toflush = AddressInh( toflush'range) then -- inserted, tagline could match flushing tagline !!!!
448 20 gerhardhoh
                         tagBuff( del).tagvalid <= '0';
449 10 gerhardhoh
                             tagBuff( del).cacheValid <= '0';
450
                             tagBuff( del).tag <= ( others => '0');
451
                             tagBuff( del).cacheAddr <= ( others => '0');
452 20 gerhardhoh
                           end if;
453 10 gerhardhoh
                           cindex <= tagdummy( del).cacheAddr;
454 20 gerhardhoh
                           FreeIn <= tagdummy( del).cacheAddr;
455
                           putf <= tagdummy( del).cacheValid;
456 10 gerhardhoh
                           stateram <= ramclean;
457
                         end if;
458
                  when ramread =>
459
                    readb <= '0';
460 20 gerhardhoh
                        getf <= '0';
461 10 gerhardhoh
                    stateram <= ramread1;
462
                  when ramread1 =>
463
                    if readsh = '0' then
464
                           for i in blockIn'range loop
465
                                  cacheIn.Words( i) <= blockIn( i);
466
                                end loop;
467
                      stateram <= ramupdate2;
468
                         end if;
469
                  when ramupdate2 =>
470
                    if IOCodeh(2) = '1' then
471
                           if IOCodeh(1) = '1' then
472
                                  If IOCodeh(0) = '1' then
473
                                    cacheIn.Words( index).Word <= DataInh;
474 20 gerhardhoh
                                        cacheIn.Words( index).Modified <= "1111";
475 10 gerhardhoh
                                  elsif AddressInh(1) = '1' then
476
                                    cacheIn.Words( index).Word( 31 downto 16) <= DataInh( 15 downto 0);
477 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 3 downto 2) <= "11";
478 10 gerhardhoh
                                  else
479
                                    cacheIn.Words( index).Word( 15 downto 0) <= DataInh( 15 downto 0);
480 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 1 downto 0) <= "11";
481 10 gerhardhoh
                                  end if;
482
                                else
483
                                  if AddressInh(1) = '0' then
484
                                    if AddressInh(0) = '0' then
485
                                           cacheIn.Words( index).Word( 7 downto 0) <= DataInh( 7 downto 0);
486 20 gerhardhoh
                                           cacheIn.Words( index).Modified(0) <= '1';
487 10 gerhardhoh
                                    else
488
                                           cacheIn.Words( index).Word( 15 downto 8) <= DataInh( 7 downto 0);
489 20 gerhardhoh
                                           cacheIn.Words( index).Modified(1) <= '1';
490 10 gerhardhoh
                                         end if;
491
                                  else
492
                                    if AddressInh(0) = '0' then
493
                                           cacheIn.Words( index).Word( 23 downto 16) <= DataInh( 7 downto 0);
494 20 gerhardhoh
                                           cacheIn.Words( index).Modified(2) <= '1';
495 10 gerhardhoh
                                    else
496
                                           cacheIn.Words( index).Word( 31 downto 24) <= DataInh( 7 downto 0);
497 20 gerhardhoh
                                           cacheIn.Words( index).Modified(3) <= '1';
498 10 gerhardhoh
                                         end if;
499
                                  end if;
500
                                end if;
501
                         else
502
                           DataOut <= cacheIn.Words( index).Word;
503
                         end if;
504
 
505
                         cacheIn.FiFoAddr <= newFiFoAddr;
506
                         cacheIn.Am <= newAm;
507
 
508
                         getf <= '0';
509
                         writec <= '1';
510 20 gerhardhoh
 
511
                         if hi = '1' then
512
                           stateram <= ramwait1;
513
                         elsif acc = '1' then
514
                           doneh <= '1';
515
                           stateram <= ramupdate3;
516
                         end if;
517 10 gerhardhoh
                  when ramupdate3 =>
518
                    hi := '0';
519 20 gerhardhoh
                        acc := '0';
520
                        en := '0';
521
                        writec <= '0';
522 10 gerhardhoh
                    doneh <= '0';
523 20 gerhardhoh
                        stateram <= ramstart;
524 10 gerhardhoh
                  when ramclean =>
525
                    putf <= '0';
526
                    stateram <= ramclean1;
527
                  when ramclean1 =>
528
                         if del /= 15 then
529
                           blockOut <= cacheOut.words;
530 20 gerhardhoh
                           writeb <= tagdummy( del).tagValid;
531
                           AddressOut <= tagdummy( del).tag & toFlush & ( ldCachedWords + 1 downto 0 => '0');
532 10 gerhardhoh
                           stateram <= ramflush;
533
                         end if;
534
                  when ramflush =>
535
                    writeb <= '0';
536
                         for i in blockIn'range loop
537
                      cacheIn.Words( i).Word <= ( others => '0');
538 20 gerhardhoh
                          cacheIn.Words( i).Modified <= ( others => '0');
539 10 gerhardhoh
                         end loop;
540
 
541
                         stateram <= ramflush1;
542
                  when ramflush1 =>
543
                         if writesh = '0' then
544 20 gerhardhoh
                           if flag = '1' then
545
                                 tagBuff( elim).tag <= AddressInh( tagBuff( elim).tag'range);
546
                                 tagBuff( elim).tagValid <= '1';
547
                                 flag <= '0';
548
                                 if IOCodeh = "111" and ldCachedWords = 0 then
549
                                   stateram <= ramupdate2;
550
                                 else
551
                                   readb <= '1';
552
                                   AddressOut <= AddressInh( AddressOut'range);
553
                                   stateram <= ramread;
554
                                 end if;
555
                           elsif isfull = '1' then
556
                             hi := '0';
557
                                 stateram <= ramstart;
558
                           elsif acc = '1' then
559
                                 doneh <= '1';
560
                             stateram <= ramupdate3;
561
                           end if;
562 10 gerhardhoh
                         end if;
563
                end case;
564
 
565
                accinterrupt <= hi;
566
                enablequeue <= en;
567
                accqueue <= acc;
568
 
569
         f := CacheIn.Am & CacheIn.FiFoAddr;
570
         if writec = '1' then
571
           Ax( to_integer( cindex)) <= f;
572
         else
573
           g := Ax( to_integer( cindex));
574
                CacheOut.FiFoAddr <= g( g'high - 1 downto g'low);
575
                CacheOut.Am <= g( g'high);
576
         end if;
577
 
578
         for i in RAMBuffer'range loop
579
           a( i) := CacheIn.Words( i).Modified & CacheIn.Words( i).Word;
580
                if writec = '1' then
581
                  RAMs( i)( to_integer( cindex)) <= a( i);
582
                else
583
                  b( i) := RAMs( i)( to_integer( cindex));
584
                  CacheOut.Words( i).Word <= b( i)( 31 downto 0);
585
                  CacheOut.Words( i).Modified <= b( i)( 35 downto 32);
586
                end if;
587
         end loop;
588
 
589 11 gerhardhoh
         if putf = '1' then
590
           address := std_ulogic_vector( firstf);
591
                datum := FreeIn;
592
                firstf <= firstf + 1;
593
                counterf <= counterf + 1;
594 10 gerhardhoh
                w := '1';
595
         else
596 11 gerhardhoh
           uaddress := lastf;
597
           if getf = '1' and counterf /= 0 then
598 10 gerhardhoh
             counterf <= counterf - 1;
599 11 gerhardhoh
                  uaddress := uaddress + 1;
600 10 gerhardhoh
           end if;
601 11 gerhardhoh
                lastf <= uaddress;
602 10 gerhardhoh
                address := std_ulogic_vector( uaddress);
603 11 gerhardhoh
                w := '0';
604
         end if;
605 10 gerhardhoh
 
606
         if w = '1' then
607 11 gerhardhoh
           ramf( to_integer( address)) <= datum;
608 10 gerhardhoh
         else
609 11 gerhardhoh
           FreeOut <= ramf( to_integer( address));
610
         end if;
611 10 gerhardhoh
 
612
         end if;
613
  end if;
614
  end process dataram;
615
 
616
  emptyf <= '1' when counterf = 0 else '0';
617
 
618
  queues: process( nReset, Clock, enablequeue) is
619
  variable acc, hi: std_ulogic;
620
  variable A1OutBuff, AmOutBuff: std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
621 11 gerhardhoh
  variable addressA1: std_ulogic_vector( ldqueuelength - 1 downto 0);
622
  variable diff, uaddressA1: unsigned( ldqueuelength - 1 downto 0);
623 10 gerhardhoh
  variable datumA1:  std_ulogic_vector( A1OutBuff'range);
624 11 gerhardhoh
  variable wA1: std_ulogic;
625
  variable addressAm: std_ulogic_vector( ldqueuelength - 1 downto 0);
626
  variable uaddressAm: unsigned( ldqueuelength - 1 downto 0);
627 10 gerhardhoh
  variable datumAm:  std_ulogic_vector( AmOutBuff'range);
628 11 gerhardhoh
  variable wAm: std_ulogic;
629 10 gerhardhoh
  begin
630
  if rising_edge(Clock) then
631
    if nReset /= '1' then
632
                del <= 15;
633
           statequeue <= queuestart;
634
           queuedone <= '0';
635
                interrupt <= '0';
636
                accdone <= '0';
637
                preempted <= '0';
638 11 gerhardhoh
                firstA1 <= ( others => '0');
639
                A1Outaddr <= ( others => '0');
640
                lastA1 <= ( others => '0');
641 10 gerhardhoh
                counterA1 <= ( others => '0');
642 11 gerhardhoh
                firstAm <= ( others => '0');
643
                AmOutaddr <= ( others => '0');
644
                lastAm <= ( others => '0');
645 10 gerhardhoh
                counterAm <= ( others => '0');
646
                getA1 <= '0'; -- NEW
647
                getAm <= '0'; -- NEW
648
                removeA1 <= '0'; -- NEW
649
                removeAm <= '0'; -- NEW
650
                putA1 <= '0'; -- NEW
651 11 gerhardhoh
                putAm <= '0'; -- NEW
652 20 gerhardhoh
        serviced <= '0';
653 10 gerhardhoh
         else
654 13 gerhardhoh
           hi := interrupt;
655 20 gerhardhoh
           acc := accdone or doneh;
656 10 gerhardhoh
 
657 20 gerhardhoh
           diff := firstA1 - unsigned( RecBuff.FiFoAddr);
658 10 gerhardhoh
 
659
           case statequeue is
660
                  when queuestart =>
661
                         getA1 <= '0';
662
 
663
                    if enablequeue = '1' then
664
                           if found /= 15 then
665
                                  if RecBuff.Am = '1' or                                -- in Am
666
                                    ( RecBuff.Am = '0' and diff( diff'high) = '0') then -- in lower half of A1
667 20 gerhardhoh
                                     queuedone <= '1';
668 10 gerhardhoh
                                         newFiFoAddr <= RecBuff.FiFoAddr;
669
                                         newAm <= RecBuff.Am;
670 20 gerhardhoh
                                 statequeue <= queuewait;
671 10 gerhardhoh
                                  elsif fullAm = '1' then
672
                                    -- Am full
673
                                         if AmOut.valid = '1' then
674
                                           del <= to_integer( AmOut.way);
675 20 gerhardhoh
                                           toFlush <= AmOut.word;
676
                                           getAm <= '1';
677 10 gerhardhoh
                                           hi := '1';
678
                                           statequeue <= queuewait;
679
                                         end if;
680
                                  else
681
                                    AmIn.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
682 20 gerhardhoh
                                        AmIn.way <= std_ulogic_vector(to_unsigned( found, ldways + 1));
683
                                        AmIn.valid <= '1';
684
                                        putAm <= '1';
685
                                        A1Inaddr <= RecBuff.FiFoAddr;
686
                                        removeA1 <= '1';
687
                                        statequeue <= queuewaitAm1;
688 10 gerhardhoh
                                  end if;
689
                                elsif free /= 15 then
690 20 gerhardhoh
                                  if fullA1 = '1' or (isfull = '1' and emptyA1 = '0' and serviced = '0') then
691 10 gerhardhoh
                                    -- remove last entry from A1
692
                                         if A1Out.valid = '1' then
693
                                           del <= to_integer( A1Out.way);
694
                                           toFlush <= A1Out.word;
695
                                           getA1 <= '1';
696
                                           hi := '1';
697 20 gerhardhoh
                       serviced <= '1';
698 10 gerhardhoh
                                           statequeue <= queuewait;
699
                                         end if;
700 20 gerhardhoh
                                  elsif emptyAm = '0' and isfull = '1' and serviced = '0' then
701 10 gerhardhoh
                                    -- remove last entry from Am
702
                                         if AmOut.valid = '1' then
703
                                           del <= to_integer( AmOut.way);
704
                                           toFlush <= AmOut.word;
705
                                           getAm <= '1';
706
                                           hi := '1';
707 20 gerhardhoh
                       serviced <= '1';
708 10 gerhardhoh
                                           statequeue <= queuewait;
709
                                         end if;
710
                                  else
711
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
712
                                         A1In.way <= std_ulogic_vector(to_unsigned( free, ldways + 1));
713
                                         A1In.valid <= '1';
714
                                         putA1 <= '1';
715 20 gerhardhoh
                     serviced <= '0';
716 10 gerhardhoh
                                         statequeue <= queuewaitA11;
717
                                  end if;
718
                                elsif elim /= 15 then
719
                                  if fullA1 = '1' then
720
                                    if A1Out.valid = '1' then
721
                                           if not ( to_integer( A1Out.way) = elim and
722
                                                        A1Out.word = AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords)) then
723
                                             del <= to_integer( A1Out.way);
724
                                             toFlush <= A1Out.word;
725
                                             statequeue <= queueelim;
726
                                           end if;
727
 
728
                                           getA1 <= '1';
729
                                         end if;
730
                                  else
731 20 gerhardhoh
                    if getA1 = '1' then
732
                      preempted <= '1';
733
                    end if;
734
                                        getA1 <= '0'; -- NEW, inserted the only bug!!!!!!!!!!!!!!
735 10 gerhardhoh
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
736 20 gerhardhoh
                                        A1In.way <= std_ulogic_vector(to_unsigned( elim, ldways + 1));
737
                                        A1In.valid <= '1';
738
                                        putA1 <= '1';
739
                                        statequeue <= queueelim;
740 10 gerhardhoh
                                  end if;
741
                                end if;
742
                         end if;
743
                  when queuewait =>
744 20 gerhardhoh
                        removeA1 <= '0';
745
                        removeAm <= '0';
746 10 gerhardhoh
                    getAm <= '0';
747
                    getA1 <= '0';
748 20 gerhardhoh
                        queuedone <= '0';
749 10 gerhardhoh
 
750 20 gerhardhoh
            if hi = '1' then
751
              hi := '0';
752
                          statequeue <= queuestart;
753
                elsif acc = '1' then
754
                          acc := '0';
755
                          del <= 15;
756
                          statequeue <= queuestart;
757
                        end if;
758 10 gerhardhoh
                  when queuewaitAm1 =>
759
                    putAm <= '0';
760 20 gerhardhoh
                        removeA1 <= '0';
761
                        statequeue <= queuewaitAm2;
762 10 gerhardhoh
                  when queuewaitAm2 =>
763 20 gerhardhoh
                        newFiFoAddr <= AmOutAddr;
764
                        newAm <= '1';
765
                        queuedone <= '1';
766
                        statequeue <= queuewait;
767 10 gerhardhoh
                  when queuewaitA11 =>
768
                    putA1 <= '0';
769 20 gerhardhoh
                        statequeue <= queuewaitA12;
770 10 gerhardhoh
                  when queuewaitA12 =>
771 20 gerhardhoh
                        newFiFoAddr <= A1OutAddr;
772
                        newAm <= '0';
773
                        removeA1 <= '0';
774
                        removeAm <= '0';
775
                        queuedone <= '1';
776 10 gerhardhoh
                    preempted <= '0';
777 20 gerhardhoh
                        statequeue <= queuewait;
778 10 gerhardhoh
                  when queueelim =>
779
                    putA1 <= '0';
780 20 gerhardhoh
                        getA1 <= '0';
781 10 gerhardhoh
 
782 20 gerhardhoh
                        if RecBuff.Am = '1' and preempted = '0' then
783
                          AmInAddr <= RecBuff.FiFoAddr;
784
                          removeAm <= '1';
785
                        elsif preempted = '0' then
786
                          A1InAddr <= RecBuff.FiFoAddr;
787
                          removeA1 <= '1';
788
                        end if;
789 10 gerhardhoh
 
790 20 gerhardhoh
                        if getA1 = '1' then
791
                          hi := '1';
792
                          preempted <= '1';
793
                          statequeue <= queuewait;
794
                        else
795
                          statequeue <= queuewaitA12;
796
                        end if;
797 10 gerhardhoh
                end case;
798
 
799
                interrupt <= hi;
800
                accdone <= acc;
801
 
802 11 gerhardhoh
         if putA1 = '1' or removeA1 = '1' then
803
           if removeA1 = '0' then
804
             addressA1 := std_ulogic_vector( firstA1);
805 10 gerhardhoh
                  datumA1 := A1In.valid & A1In.way & A1In.Word;
806 11 gerhardhoh
                  firstA1 <= firstA1 + 1;
807
                  counterA1 <= counterA1 + 1;
808
                  A1Outaddr <= std_ulogic_vector( firstA1);
809
                else
810
                  addressA1 := A1Inaddr( addressA1'range);
811
                  datumA1 := ( others => '0');
812 10 gerhardhoh
                end if;
813 11 gerhardhoh
                wA1 := '1';
814
         else
815
           uaddressA1 := lastA1;
816
           if (getA1 = '1' or A1Out.valid = '0') and counterA1 /= 0 then
817
             counterA1 <= counterA1 - 1;
818
             uaddressA1 := uaddressA1 + 1;
819 10 gerhardhoh
           end if;
820
           lastA1 <= uaddressA1;
821
           addressA1 := std_ulogic_vector( uaddressA1);
822 11 gerhardhoh
           wA1 := '0';
823 10 gerhardhoh
         end if;
824
 
825
         if wA1 = '1' then
826 11 gerhardhoh
           ramA1( to_integer( addressA1)) <= datumA1;
827 10 gerhardhoh
         else
828 11 gerhardhoh
           A1OutBuff := ramA1( to_integer( addressA1));
829 10 gerhardhoh
 
830
      A1Out.Word <= A1OutBuff( blocksizeld - 1 downto 0);
831
      A1Out.way <= A1OutBuff( blocksizeld + ldways downto blocksizeld);
832
                A1Out.valid <= A1OutBuff( blocksizeld + ldways + 1);
833 11 gerhardhoh
         end if;
834 10 gerhardhoh
 
835 11 gerhardhoh
         if putAm = '1' or removeAm = '1' then
836
           if removeAm = '0' then
837
             addressAm := std_ulogic_vector( firstAm);
838 10 gerhardhoh
                  datumAm := AmIn.valid & AmIn.way & AmIn.Word;
839 11 gerhardhoh
                  firstAm <= firstAm + 1;
840
                  counterAm <= counterAm + 1;
841
                  AmOutaddr <= std_ulogic_vector( firstAm);
842
                else
843
                  addressAm := AmInaddr( addressAm'range);
844
                  datumAm := ( others => '0');
845 10 gerhardhoh
                end if;
846 11 gerhardhoh
                wAm := '1';
847
         else
848
           uaddressAm := lastAm;
849
           if (getAm = '1' or AmOut.valid = '0') and counterAm /= 0 then
850
             counterAm <= counterAm - 1;
851
             uaddressAm := uaddressAm + 1;
852 10 gerhardhoh
           end if;
853
           lastAm <= uaddressAm;
854
           addressAm := std_ulogic_vector( uaddressAm);
855 11 gerhardhoh
           wAm := '0';
856 10 gerhardhoh
         end if;
857 11 gerhardhoh
 
858 10 gerhardhoh
         if wAm = '1' then
859 11 gerhardhoh
           ramAm( to_integer( addressAm)) <= datumAm;
860 10 gerhardhoh
         else
861
           AmOutBuff := ramAm( to_integer( addressAm));
862 11 gerhardhoh
 
863 10 gerhardhoh
      AmOut.Word <= AmOutBuff( blocksizeld - 1 downto 0);
864
      AmOut.way <= AmOutBuff( blocksizeld + ldways downto blocksizeld);
865
                AmOut.valid <= AmOutBuff( blocksizeld + ldways + 1);
866
         end if;
867 11 gerhardhoh
         end if;
868 10 gerhardhoh
  end if;
869
  end process queues;
870
 
871
  fullA1 <= counterA1( counterA1'high);
872 11 gerhardhoh
  emptyA1 <= '1' when counterA1 = 0 else '0';
873 10 gerhardhoh
 
874
  fullAm <= counterAm( counterAm'high);
875 11 gerhardhoh
  emptyAm <= '1' when counterAm = 0 else '0';
876 10 gerhardhoh
 
877 11 gerhardhoh
end Rtl;
878
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.