OpenCores
URL https://opencores.org/ocsvn/mytwoqcache/mytwoqcache/trunk

Subversion Repositories mytwoqcache

[/] [mytwoqcache/] [trunk/] [2QCache.vhd] - Blame information for rev 21

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 gerhardhoh
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    07:41:47 12/14/2010 
6
-- Design Name: 
7
-- Module Name:    Cache - Rtl 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE, work;
21
use IEEE.std_logic_1164.all;
22
use IEEE.std_logic_arith.all;
23
use work.global.all;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity Cache is
31
  generic( constant blocksizeld: integer := 11;
32
                          constant ldways: integer := 1;
33
                          constant ldCachedWords: integer := 2);
34
  port( nReset: in std_ulogic;                                          -- System reset active low
35
        Clock: in std_ulogic;                                           -- System Clock
36 10 gerhardhoh
                  AddressIn: in std_ulogic_vector(RAMrange'high + 1 downto 0);    -- Address of memory fetch
37 11 gerhardhoh
                  DataIn: in std_ulogic_vector( 31 downto 0);                     -- Data to write
38
             IOCode: in std_ulogic_vector(2 downto 0);                                           -- operation
39 10 gerhardhoh
                                                                                  -- Bit
40
                                                                                                                                                                                                --  2    0 read
41
                                                                                                                                                                                                --       1 write
42
                                                                                                                                                                                                -- 1 0   11 word
43
                                                                                                                                                                                                --       10 halfword
44
                                                                                                                                                                                                --       01 single byte
45 11 gerhardhoh
                                                                                                                                                                                                --       00 no operation
46
                  DataOut: out std_ulogic_vector( 31 downto 0);                   -- Data read
47 10 gerhardhoh
                  done: out std_ulogic;
48 11 gerhardhoh
                  -- memory interface
49
                  AddressOut: out std_ulogic_vector(RAMrange'high downto 0);        -- memory address
50
                  DataBlockIn: in std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0);   -- data from memory
51
                  reads: out std_ulogic;                                                      -- read memory
52
                  DataBlockOut: out std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0); -- data to memory
53
                  Mask: out std_ulogic_vector( 2 ** ldCachedWords * 4 - 1 downto 0);          -- enables for each byte active low
54 10 gerhardhoh
                  writes: out std_ulogic;                                                     -- write memory
55 11 gerhardhoh
                  ack: in std_ulogic                                                          -- acknowledge from memory
56
                );
57
end Cache;
58
 
59 10 gerhardhoh
architecture Rtl of Cache is
60
constant ways: integer := 2 ** ldways;
61
constant ldram: integer := blocksizeld + ldways - 1;
62
constant ldqueuelength: integer := ldram;
63
 
64 11 gerhardhoh
type IOType is ( Start, busy);
65
type tType is ( inittag, startt, startt1, tagtest, tagwait, stateget, stateget1, finish, finished);
66 18 gerhardhoh
type rType is ( raminit, ramstart, ramread, ramread1, ramupdate,
67 11 gerhardhoh
                ramupdate1, ramupdate2, ramupdate3, ramflush, ramflush1, ramwait, ramwait1, ramclean, ramclean1);
68
type fType is ( queuestart, queuewait, queuewaitAm1, queuewaitAm2, queuewaitA11, queuewaitA12, queueelim);
69
subtype myint is natural range 15 downto 0;
70
type TagRAMType is record
71
  cacheAddr: std_ulogic_vector( ldram - 1 downto 0);
72
  cacheValid: std_ulogic;
73
  Tag: std_ulogic_vector( RAMrange'high downto 2 + ldCachedWords + blocksizeld);
74
  TagValid: std_ulogic;
75 10 gerhardhoh
end record;
76
type WordType is record
77 11 gerhardhoh
  Word: std_ulogic_vector(31 downto 0);
78 10 gerhardhoh
  Modified: std_ulogic_vector( 3 downto 0);
79
end record;
80 11 gerhardhoh
type WordArray is array ( 2 ** ldCachedWords - 1 downto 0) of WordType;
81
type CacheType is record
82 10 gerhardhoh
  Words: WordArray;
83
  FiFoaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
84 11 gerhardhoh
  Am: std_ulogic;                                                        -- redifined and renamed
85
end record;
86 10 gerhardhoh
type FiFoType is record
87
  Word: std_ulogic_vector( blocksizeld - 1 downto 0);
88
  way: std_ulogic_vector( ldways downto 0);
89
  valid: std_ulogic;
90
end record;
91
 
92
type TagRAMarray is array ( ways - 1 downto 0) of TagRAMType;
93
type TagBuffer is array ( ways - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
94
type TagFile is array ( 2 ** blocksizeld - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
95
type TagFiles is array ( ways - 1 downto 0) of TagFile;
96
 
97
type RAMFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( 35 downto 0);
98
type RAMFiles is array ( 2 ** ldCachedWords - 1 downto 0) of RAMFile;
99
type RAMBuffer is array ( 2 ** ldCachedWords - 1 downto 0) of std_ulogic_vector( 35 downto 0);
100 11 gerhardhoh
type AFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldqueuelength downto 0); -- redimensioned
101 10 gerhardhoh
 
102
type myarrayf is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldram - 1 downto 0);
103
type myarrayA is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
104
 
105
signal RAMs: RAMFiles;
106
signal Ax: AFile;
107 11 gerhardhoh
signal tagRAM: TagFiles;
108 10 gerhardhoh
signal tagdummy, tagBuff, TagRAMIn, TagRAMOut: TagRAMarray;
109
signal RecBuff, CacheIn, CacheOut: CacheType;
110
signal blockIn, blockOut: WordArray;
111
signal DataInh: std_ulogic_vector( 31 downto 0);
112
signal A1In, A1Out, AmIn, AmOut: FiFoType;
113
signal putA1, removeA1, getA1, emptyA1, fullA1: std_ulogic;
114
signal putAm, removeAm, getAm, emptyAm, fullAm: std_ulogic;
115
signal A1Inaddr, A1Outaddr, AmInaddr, AmOutaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
116
signal emptyf, getf, putf: std_ulogic;
117 11 gerhardhoh
signal cindex, FreeOut, FreeIn: std_ulogic_vector( ldram - 1 downto 0);
118
signal ramf: myarrayf;
119
signal counterf: unsigned( ldram downto 0);
120 10 gerhardhoh
signal firstf, lastf: unsigned( ldram - 1 downto 0);
121
signal newFiFoAddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
122 11 gerhardhoh
signal newAm: std_ulogic;  -- redifined and renamed
123 10 gerhardhoh
signal initcount: unsigned( blocksizeld - 1 downto 0);
124
signal initcount1: unsigned( ldram - 1 downto 0);
125 11 gerhardhoh
signal ramA1: myarrayA;
126
signal counterA1: unsigned( ldqueuelength downto 0);
127
signal firstA1, lastA1: unsigned( ldqueuelength - 1 downto 0);
128
signal ramAm: myarrayA;
129
signal counterAm: unsigned( ldqueuelength downto 0);
130
signal firstAm, lastAm: unsigned( ldqueuelength - 1 downto 0);
131 10 gerhardhoh
 
132
signal AddressInh: std_ulogic_vector( AddressIn'high -1 downto 0);
133 11 gerhardhoh
signal IOCodeh: std_ulogic_vector( IOCode'range);
134
signal toFlush, AddressInt: std_ulogic_vector( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
135
signal found, free, elim, del: myint;
136 10 gerhardhoh
signal stateIO: IOType;
137
signal statetag: tType;
138
signal stateram: rType;
139
signal statequeue: fType;
140 20 gerhardhoh
signal enableram, enablequeue, queuedone, readsh, writesh, doneh, preempted, isfull, flag,
141 13 gerhardhoh
       interrupt, readb, writeb, writec, writet, accdone, accqueue, accinterrupt, serviced, oldint: std_ulogic;
142 15 gerhardhoh
signal gal: std_ulogic_vector( 7 downto 0);
143 11 gerhardhoh
 
144
begin
145 10 gerhardhoh
 
146 11 gerhardhoh
 
147
 
148 10 gerhardhoh
  blockIO: process( nReset, Clock, readb, writeb) is
149
  variable s: std_ulogic;
150
  begin
151
    if nReset /= '1' then
152
           writesh <= '0';
153
                readsh <= '0';
154
                stateIO <= start;
155
    elsif rising_edge(Clock) then
156
           case stateIO is
157
                when start =>
158
                  if readb = '1' then
159
                         Mask <= ( others => '1');
160
                         readsh <= '1';
161
                    stateIO <= busy;
162
                  elsif writeb = '1' then
163
                    s := '0';
164
 
165
                    for i in blockOut'range loop
166
                      DataBlockOut( ( i + 1) * 32 - 1 downto i * 32) <= blockOut( i).word;
167
                           Mask( ( i + 1) * 4 - 1 downto i * 4) <= not blockOut( i).Modified;
168
                                s := s or blockOut( i).Modified(0) or blockOut( i).Modified(1) or
169
                                          blockOut( i).Modified(2) or blockOut( i).Modified(3);
170
                         end loop;
171
 
172
                         writesh <= s;
173
 
174
                         if s = '1' then
175
                      stateIO <= busy;
176
                         end if;
177
                  end if;
178
                when busy =>
179
                  if ack = '1' then
180
                    stateIO <= start;
181
 
182
                    if readsh = '1' then
183
                           for i in blockIn'range loop
184
                        blockIn( i).word <= DataBlockIn( ( i + 1) * 32 - 1 downto i * 32);
185
                                  blockIn( i).Modified <= ( others => '0');
186
                                end loop;
187
                    end if;
188
 
189
                    readsh <= '0';
190
                    writesh <= '0';
191
                  end if;
192
                end case;
193
         end if;
194
  end process blockIO;
195
 
196
  writes <= writesh;
197
  reads <= readsh;
198
 
199
  tagrams: process ( nReset, Clock) is
200
  variable a, b, d: myint;
201 11 gerhardhoh
  variable DataInTag, DataOutTag: TagBuffer;
202 10 gerhardhoh
  begin
203
  if rising_edge(Clock) then
204
    if nReset /= '1' then
205
           statetag <= inittag;
206
                writet <= '0';
207
                enableram <= '0';
208 13 gerhardhoh
           oldint <= '0';
209 10 gerhardhoh
                found <= 15;
210
                free <= 15;
211
                done <= '0'; -- NEW
212
                initcount <= ( others => '0');
213
                AddressInt <= ( others => '0');
214
                IOCodeh <= ( others => '0');
215
                AddressInh <= ( others => '0');
216 15 gerhardhoh
           gal <= ( others => '1');
217 10 gerhardhoh
         else
218 15 gerhardhoh
            gal <= gal( 6 downto 4) & ( gal( 3) xor gal( 7)) & ( gal( 2) xor gal( 7)) & ( gal( 1) xor gal( 7)) & gal( 0) & gal( 7);
219 13 gerhardhoh
         oldint <= interrupt;
220 10 gerhardhoh
           case statetag is
221
                  when inittag =>
222
                    for i in tagRAMIn'range loop
223
                           tagRAMIn(i).tagValid <= '0';
224
                           tagRAMIn(i).tag <= ( others => '0');
225
                           tagRAMIn(i).cacheValid <= '0';
226
                           tagRAMIn(i).cacheAddr <= ( others => '0');
227
                         end loop;
228
                         AddressInt <= std_ulogic_vector(initcount);
229
                         initcount <= initcount + 1;
230
                         if unsigned( not AddressInt) = 0 then
231
                      statetag <= startt;
232
                           writet <= '0';
233
                         else
234
                           writet <= '1';
235
                         end if;
236
                  when startt =>
237
                    if IOCode( 1 downto 0) /= "00" and AddressIn( AddressIn'high) = '0' then
238
                      -- request encountered
239
                                AddressInh <= AddressIn(AddressInh'range);
240 11 gerhardhoh
                                IOCodeh <= IOCode;
241 10 gerhardhoh
                      AddressInt <= AddressIn( AddressInt'range);
242
                                DataInh <= DataIn;
243
                      statetag <= startt1;
244
                    end if;
245
                  when startt1 =>
246
                    statetag <= tagtest;
247
                  when tagtest =>
248 11 gerhardhoh
          a := 15;
249 10 gerhardhoh
                    b := 15;
250 11 gerhardhoh
 
251
               for i in 0 to TagRAMarray'high loop
252
                      if tagRAMOut( i).tagValid = '1' then
253
                   if AddressInh(tagRAMout( i).tag'range) = tagRAMout( i).tag then
254 10 gerhardhoh
                          a := i; -- present
255 11 gerhardhoh
                                  end if;
256
                      else
257
                             b := i; -- free entry
258
                      end if;
259
               end loop;
260 10 gerhardhoh
 
261 11 gerhardhoh
                    found <= a;
262
                    free <= b;
263 15 gerhardhoh
 
264 20 gerhardhoh
            if ways  = 1 then
265
              elim <= 0;
266
            else
267
              elim <= to_integer( gal( ldways - 1 downto 0));
268
            end if;
269 16 gerhardhoh
 
270 10 gerhardhoh
                    if stateram = ramstart then
271
                      enableram <= '1';
272
                      statetag <= tagwait;
273
                         end if;
274
                  when tagwait =>
275
                    writet <= '0';
276
 
277 13 gerhardhoh
                    if interrupt = '1' and oldint = '0' then
278 10 gerhardhoh
                      enableram <= '0';
279 20 gerhardhoh
                          AddressInt <= toFlush;
280
                          statetag <= stateget;
281
                        elsif queuedone = '1' then
282 10 gerhardhoh
                      enableram <= '0';
283 20 gerhardhoh
                          statetag <= finish;
284
                        end if;
285 10 gerhardhoh
                  when stateget =>
286
                         statetag <= stateget1;
287
                  when stateget1 =>
288 20 gerhardhoh
                     enableram <= '1';
289 10 gerhardhoh
                         tagDummy <= tagRAMOut;
290
 
291
                         for i in tagRAMIn'range loop
292
                           if del = i then
293 20 gerhardhoh
                         tagRAMIn( i).tagvalid <= '0';
294 10 gerhardhoh
                             tagRAMIn( i).cacheValid <= '0';
295
                             tagRAMIn( i).tag <= ( others => '0');
296
                             tagRAMIn( i).cacheAddr <= ( others => '0');
297 20 gerhardhoh
                                 writet <= '1';
298 10 gerhardhoh
                           else
299
                             tagRAMIn( i) <= tagRAMOut( i);
300
                           end if;
301
                         end loop;
302
 
303
                         statetag <= tagwait;
304
                  when finish =>
305
                    if doneh = '1' then
306
                           tagRAMIn <= tagBuff;
307 20 gerhardhoh
                           writet <= '1';
308
                       AddressInt <= AddressInh( AddressInt'range);
309
                           done <= '1';
310
                       statetag <= finished;
311 10 gerhardhoh
                    end if;
312
                  when finished => -- NEW
313
                    writet <= '0';
314
                    done <= '0';
315
                    statetag <= startt;
316
                end case;
317
 
318
         for i in tagRAM'range loop
319
      DataInTag( i) := TagRAMIn( i).TagValid & TagRAMIn( i).Tag & TagRAMIn( i).cacheValid & TagRAMIn( i).cacheAddr;
320
 
321
           if writet = '1' then
322
                  tagRAM(i)(to_integer( AddressInt)) <= DataInTag( i);
323
                else
324
                  DataOutTag( i) := tagRAM(i)(to_integer( AddressInt));
325
 
326
             TagRAMOut( i).cacheAddr <= DataOutTag( i)( ldram - 1 downto 0);
327
             TagRAMOut( i).cacheValid <= DataOutTag( i)( ldram);
328
             TagRAMOut( i).Tag <= DataOutTag( i)( DataOutTag( 0)'high - 1 downto ldram + 1);
329
             TagRAMOut( i).TagValid <= DataOutTag( i)( DataOutTag( 0)'high);
330
                end if;
331
         end loop;
332
         end if;
333
  end if;
334
  end Process tagrams;
335
 
336
  dataram: process (nReset, Clock, enableram) is
337
  variable en, acc, hi: std_ulogic;
338
  variable f, g: std_ulogic_vector( CacheIn.FiFoAddr'length downto 0);
339
  variable a, b: RAMBuffer;
340
  variable index, index1: integer;
341
 
342 11 gerhardhoh
  variable address: std_ulogic_vector( ldram - 1 downto 0);
343
  variable uaddress: unsigned( ldram - 1 downto 0);
344 10 gerhardhoh
  variable datum:  std_ulogic_vector( FreeIn'range);
345 11 gerhardhoh
  variable w: std_ulogic;
346 10 gerhardhoh
  begin
347
  if rising_edge(Clock) then
348
    if nReset /= '1' then
349
           enablequeue <= '0';
350
           stateram <= raminit;
351
                writec <= '0';
352
                writeb <= '0';
353
                readb <= '0';
354
                getf <= '0';
355
                putf <= '0'; -- NEW inserted
356
                doneh <= '0';
357
                accinterrupt <= '0';
358
                accqueue <= '0';
359 20 gerhardhoh
                isfull <= '0';
360
                flag <= '0';
361 10 gerhardhoh
                initcount1 <= ( others => '0');
362
                FreeIn <= ( others => '0');
363 11 gerhardhoh
                firstf <= ( others => '0');
364
                lastf <= ( others => '0');
365
                counterf <= ( others => '0');
366 10 gerhardhoh
         else
367 13 gerhardhoh
           hi := accinterrupt or (interrupt and not oldint);
368 10 gerhardhoh
                acc := accqueue or queuedone;
369 13 gerhardhoh
                en := enablequeue and not acc;
370 10 gerhardhoh
 
371
                if ldCachedWords = 0 then
372
                  index := 0;
373
                else
374
                  index := to_integer( AddressInh( ldCachedWords + 1 downto 2));
375
                end if;
376
 
377
           case stateram is
378
                  when raminit =>
379
                         FreeIn <= std_ulogic_vector( initcount1);
380 20 gerhardhoh
             initcount1 <= initcount1 + 1;
381
 
382 10 gerhardhoh
                         if unsigned( not FreeIn) = 0 then
383
                           stateram <= ramstart;
384
                           putf <= '0';
385
                         else
386
                           putf <= '1';
387
                         end if;
388
                  when ramstart =>
389 20 gerhardhoh
                     if enableram = '1' then -- UPDATE
390
                           if isfull = '0' then
391
                             tagBuff <= tagRAMOut;
392
                           end if;
393
                           if found /= 15 then
394 18 gerhardhoh
                                  cindex <= tagRAMOut( found).cacheAddr;
395 20 gerhardhoh
                                  isfull <= '0';
396 10 gerhardhoh
                                  stateram <= ramupdate;
397 20 gerhardhoh
                           elsif free /= 15 then
398 10 gerhardhoh
                                  en := '1';
399 20 gerhardhoh
                                  if emptyf = '1' and isfull = '0' then
400
                                    isfull <= '1';
401
                                    stateram <= ramwait;
402
                                  else
403
                                    cindex <= FreeOut;
404 21 gerhardhoh
                                        if isfull = '1' then
405
                                      tagBuff( free).cacheAddr <= FreeOut;
406
                                      tagBuff( free).cacheValid <= '1';
407
                                      tagBuff( free).tag <= AddressInh( tagBuff( free).tag'range);
408
                                      tagBuff( free).tagValid <= '1';
409
                                        else
410
                                      tagRAMOut( free).cacheAddr <= FreeOut;
411
                                      tagRAMOut( free).cacheValid <= '1';
412
                                      tagRAMOut( free).tag <= AddressInh( tagRAMOut( free).tag'range);
413
                                      tagRAMOut( free).tagValid <= '1';
414
                                        end if;
415 20 gerhardhoh
                                    isfull <= '0';
416
                                    getf <= '1';
417
                                    if IOCodeh = "111" and ldCachedWords = 0 then
418
                                      stateram <= ramupdate2;
419
                                    else
420
                                      readb <= '1';
421
                                  AddressOut <= AddressInh( AddressOut'range);
422
                                      stateram <= ramread;
423
                                    end if;
424
                                  end if;
425 10 gerhardhoh
                                else
426 18 gerhardhoh
                                  cindex <= tagRAMOut( elim).cacheAddr;
427 20 gerhardhoh
                                  isfull <= '0';
428 15 gerhardhoh
                                  stateram <= ramupdate;
429 10 gerhardhoh
                                end if;
430
                         end if;
431
                  when ramupdate =>
432
                    stateram <= ramupdate1;
433
                  when ramupdate1 =>
434 20 gerhardhoh
                     cacheIn <= cacheOut;
435 10 gerhardhoh
                         blockOut <= cacheOut.Words;
436
                         RecBuff <= cacheOut;
437
                         en := '1';
438 20 gerhardhoh
                         if found /= 15 then
439
                           stateram <= ramupdate2;
440
                         else
441
                           AddressOut <= tagBuff( elim).tag & AddressInh( AddressInt'range) & ( ldCachedWords + 1 downto 0 => '0');
442
                       writeb <= '1';
443
                           flag <= '1';
444
                           stateram <= ramflush;
445
                         end if;
446 10 gerhardhoh
                  when ramwait =>
447
                    if hi = '1' then
448 20 gerhardhoh
                          stateram <= ramwait1;
449
                        end if;
450 10 gerhardhoh
                  when ramwait1 =>
451 20 gerhardhoh
                         writec <= '0';
452
 
453 10 gerhardhoh
                         if del /= 15 and enableram = '1' then
454 11 gerhardhoh
                           if toflush = AddressInh( toflush'range) then -- inserted, tagline could match flushing tagline !!!!
455 20 gerhardhoh
                         tagBuff( del).tagvalid <= '0';
456 10 gerhardhoh
                             tagBuff( del).cacheValid <= '0';
457
                             tagBuff( del).tag <= ( others => '0');
458
                             tagBuff( del).cacheAddr <= ( others => '0');
459 20 gerhardhoh
                           end if;
460 10 gerhardhoh
                           cindex <= tagdummy( del).cacheAddr;
461 20 gerhardhoh
                           FreeIn <= tagdummy( del).cacheAddr;
462
                           putf <= tagdummy( del).cacheValid;
463 10 gerhardhoh
                           stateram <= ramclean;
464
                         end if;
465
                  when ramread =>
466
                    readb <= '0';
467 20 gerhardhoh
                        getf <= '0';
468 10 gerhardhoh
                    stateram <= ramread1;
469
                  when ramread1 =>
470
                    if readsh = '0' then
471
                           for i in blockIn'range loop
472
                                  cacheIn.Words( i) <= blockIn( i);
473
                                end loop;
474
                      stateram <= ramupdate2;
475
                         end if;
476
                  when ramupdate2 =>
477
                    if IOCodeh(2) = '1' then
478
                           if IOCodeh(1) = '1' then
479
                                  If IOCodeh(0) = '1' then
480
                                    cacheIn.Words( index).Word <= DataInh;
481 20 gerhardhoh
                                        cacheIn.Words( index).Modified <= "1111";
482 10 gerhardhoh
                                  elsif AddressInh(1) = '1' then
483
                                    cacheIn.Words( index).Word( 31 downto 16) <= DataInh( 15 downto 0);
484 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 3 downto 2) <= "11";
485 10 gerhardhoh
                                  else
486
                                    cacheIn.Words( index).Word( 15 downto 0) <= DataInh( 15 downto 0);
487 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 1 downto 0) <= "11";
488 10 gerhardhoh
                                  end if;
489
                                else
490
                                  if AddressInh(1) = '0' then
491
                                    if AddressInh(0) = '0' then
492
                                           cacheIn.Words( index).Word( 7 downto 0) <= DataInh( 7 downto 0);
493 20 gerhardhoh
                                           cacheIn.Words( index).Modified(0) <= '1';
494 10 gerhardhoh
                                    else
495
                                           cacheIn.Words( index).Word( 15 downto 8) <= DataInh( 7 downto 0);
496 20 gerhardhoh
                                           cacheIn.Words( index).Modified(1) <= '1';
497 10 gerhardhoh
                                         end if;
498
                                  else
499
                                    if AddressInh(0) = '0' then
500
                                           cacheIn.Words( index).Word( 23 downto 16) <= DataInh( 7 downto 0);
501 20 gerhardhoh
                                           cacheIn.Words( index).Modified(2) <= '1';
502 10 gerhardhoh
                                    else
503
                                           cacheIn.Words( index).Word( 31 downto 24) <= DataInh( 7 downto 0);
504 20 gerhardhoh
                                           cacheIn.Words( index).Modified(3) <= '1';
505 10 gerhardhoh
                                         end if;
506
                                  end if;
507
                                end if;
508
                         else
509
                           DataOut <= cacheIn.Words( index).Word;
510
                         end if;
511
 
512
                         cacheIn.FiFoAddr <= newFiFoAddr;
513
                         cacheIn.Am <= newAm;
514
 
515
                         getf <= '0';
516
                         writec <= '1';
517 20 gerhardhoh
 
518
                         if hi = '1' then
519
                           stateram <= ramwait1;
520
                         elsif acc = '1' then
521
                           doneh <= '1';
522
                           stateram <= ramupdate3;
523
                         end if;
524 10 gerhardhoh
                  when ramupdate3 =>
525
                    hi := '0';
526 20 gerhardhoh
                        acc := '0';
527
                        en := '0';
528
                        writec <= '0';
529 10 gerhardhoh
                    doneh <= '0';
530 20 gerhardhoh
                        stateram <= ramstart;
531 10 gerhardhoh
                  when ramclean =>
532
                    putf <= '0';
533
                    stateram <= ramclean1;
534
                  when ramclean1 =>
535
                         if del /= 15 then
536
                           blockOut <= cacheOut.words;
537 20 gerhardhoh
                           writeb <= tagdummy( del).tagValid;
538
                           AddressOut <= tagdummy( del).tag & toFlush & ( ldCachedWords + 1 downto 0 => '0');
539 10 gerhardhoh
                           stateram <= ramflush;
540
                         end if;
541
                  when ramflush =>
542
                    writeb <= '0';
543
                         for i in blockIn'range loop
544
                      cacheIn.Words( i).Word <= ( others => '0');
545 20 gerhardhoh
                          cacheIn.Words( i).Modified <= ( others => '0');
546 10 gerhardhoh
                         end loop;
547
 
548
                         stateram <= ramflush1;
549
                  when ramflush1 =>
550
                         if writesh = '0' then
551 20 gerhardhoh
                           if flag = '1' then
552
                                 tagBuff( elim).tag <= AddressInh( tagBuff( elim).tag'range);
553
                                 tagBuff( elim).tagValid <= '1';
554
                                 flag <= '0';
555
                                 if IOCodeh = "111" and ldCachedWords = 0 then
556
                                   stateram <= ramupdate2;
557
                                 else
558
                                   readb <= '1';
559
                                   AddressOut <= AddressInh( AddressOut'range);
560
                                   stateram <= ramread;
561
                                 end if;
562
                           elsif isfull = '1' then
563
                             hi := '0';
564
                                 stateram <= ramstart;
565
                           elsif acc = '1' then
566
                                 doneh <= '1';
567
                             stateram <= ramupdate3;
568
                           end if;
569 10 gerhardhoh
                         end if;
570
                end case;
571
 
572
                accinterrupt <= hi;
573
                enablequeue <= en;
574
                accqueue <= acc;
575
 
576
         f := CacheIn.Am & CacheIn.FiFoAddr;
577
         if writec = '1' then
578
           Ax( to_integer( cindex)) <= f;
579
         else
580
           g := Ax( to_integer( cindex));
581
                CacheOut.FiFoAddr <= g( g'high - 1 downto g'low);
582
                CacheOut.Am <= g( g'high);
583
         end if;
584
 
585
         for i in RAMBuffer'range loop
586
           a( i) := CacheIn.Words( i).Modified & CacheIn.Words( i).Word;
587
                if writec = '1' then
588
                  RAMs( i)( to_integer( cindex)) <= a( i);
589
                else
590
                  b( i) := RAMs( i)( to_integer( cindex));
591
                  CacheOut.Words( i).Word <= b( i)( 31 downto 0);
592
                  CacheOut.Words( i).Modified <= b( i)( 35 downto 32);
593
                end if;
594
         end loop;
595
 
596 11 gerhardhoh
         if putf = '1' then
597
           address := std_ulogic_vector( firstf);
598
                datum := FreeIn;
599
                firstf <= firstf + 1;
600
                counterf <= counterf + 1;
601 10 gerhardhoh
                w := '1';
602
         else
603 11 gerhardhoh
           uaddress := lastf;
604
           if getf = '1' and counterf /= 0 then
605 10 gerhardhoh
             counterf <= counterf - 1;
606 11 gerhardhoh
                  uaddress := uaddress + 1;
607 10 gerhardhoh
           end if;
608 11 gerhardhoh
                lastf <= uaddress;
609 10 gerhardhoh
                address := std_ulogic_vector( uaddress);
610 11 gerhardhoh
                w := '0';
611
         end if;
612 10 gerhardhoh
 
613
         if w = '1' then
614 11 gerhardhoh
           ramf( to_integer( address)) <= datum;
615 10 gerhardhoh
         else
616 11 gerhardhoh
           FreeOut <= ramf( to_integer( address));
617
         end if;
618 10 gerhardhoh
 
619
         end if;
620
  end if;
621
  end process dataram;
622
 
623
  emptyf <= '1' when counterf = 0 else '0';
624
 
625
  queues: process( nReset, Clock, enablequeue) is
626
  variable acc, hi: std_ulogic;
627
  variable A1OutBuff, AmOutBuff: std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
628 11 gerhardhoh
  variable addressA1: std_ulogic_vector( ldqueuelength - 1 downto 0);
629
  variable diff, uaddressA1: unsigned( ldqueuelength - 1 downto 0);
630 10 gerhardhoh
  variable datumA1:  std_ulogic_vector( A1OutBuff'range);
631 11 gerhardhoh
  variable wA1: std_ulogic;
632
  variable addressAm: std_ulogic_vector( ldqueuelength - 1 downto 0);
633
  variable uaddressAm: unsigned( ldqueuelength - 1 downto 0);
634 10 gerhardhoh
  variable datumAm:  std_ulogic_vector( AmOutBuff'range);
635 11 gerhardhoh
  variable wAm: std_ulogic;
636 10 gerhardhoh
  begin
637
  if rising_edge(Clock) then
638
    if nReset /= '1' then
639
                del <= 15;
640
           statequeue <= queuestart;
641
           queuedone <= '0';
642
                interrupt <= '0';
643
                accdone <= '0';
644
                preempted <= '0';
645 11 gerhardhoh
                firstA1 <= ( others => '0');
646
                A1Outaddr <= ( others => '0');
647
                lastA1 <= ( others => '0');
648 10 gerhardhoh
                counterA1 <= ( others => '0');
649 11 gerhardhoh
                firstAm <= ( others => '0');
650
                AmOutaddr <= ( others => '0');
651
                lastAm <= ( others => '0');
652 10 gerhardhoh
                counterAm <= ( others => '0');
653
                getA1 <= '0'; -- NEW
654
                getAm <= '0'; -- NEW
655
                removeA1 <= '0'; -- NEW
656
                removeAm <= '0'; -- NEW
657
                putA1 <= '0'; -- NEW
658 11 gerhardhoh
                putAm <= '0'; -- NEW
659 20 gerhardhoh
        serviced <= '0';
660 10 gerhardhoh
         else
661 13 gerhardhoh
           hi := interrupt;
662 20 gerhardhoh
           acc := accdone or doneh;
663 10 gerhardhoh
 
664 20 gerhardhoh
           diff := firstA1 - unsigned( RecBuff.FiFoAddr);
665 10 gerhardhoh
 
666
           case statequeue is
667
                  when queuestart =>
668
                         getA1 <= '0';
669
 
670
                    if enablequeue = '1' then
671
                           if found /= 15 then
672
                                  if RecBuff.Am = '1' or                                -- in Am
673
                                    ( RecBuff.Am = '0' and diff( diff'high) = '0') then -- in lower half of A1
674 20 gerhardhoh
                                     queuedone <= '1';
675 10 gerhardhoh
                                         newFiFoAddr <= RecBuff.FiFoAddr;
676
                                         newAm <= RecBuff.Am;
677 20 gerhardhoh
                                 statequeue <= queuewait;
678 10 gerhardhoh
                                  elsif fullAm = '1' then
679
                                    -- Am full
680
                                         if AmOut.valid = '1' then
681
                                           del <= to_integer( AmOut.way);
682 20 gerhardhoh
                                           toFlush <= AmOut.word;
683
                                           getAm <= '1';
684 10 gerhardhoh
                                           hi := '1';
685
                                           statequeue <= queuewait;
686
                                         end if;
687
                                  else
688
                                    AmIn.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
689 20 gerhardhoh
                                        AmIn.way <= std_ulogic_vector(to_unsigned( found, ldways + 1));
690
                                        AmIn.valid <= '1';
691
                                        putAm <= '1';
692
                                        A1Inaddr <= RecBuff.FiFoAddr;
693
                                        removeA1 <= '1';
694
                                        statequeue <= queuewaitAm1;
695 10 gerhardhoh
                                  end if;
696
                                elsif free /= 15 then
697 20 gerhardhoh
                                  if fullA1 = '1' or (isfull = '1' and emptyA1 = '0' and serviced = '0') then
698 10 gerhardhoh
                                    -- remove last entry from A1
699
                                         if A1Out.valid = '1' then
700
                                           del <= to_integer( A1Out.way);
701
                                           toFlush <= A1Out.word;
702
                                           getA1 <= '1';
703
                                           hi := '1';
704 20 gerhardhoh
                       serviced <= '1';
705 10 gerhardhoh
                                           statequeue <= queuewait;
706
                                         end if;
707 20 gerhardhoh
                                  elsif emptyAm = '0' and isfull = '1' and serviced = '0' then
708 10 gerhardhoh
                                    -- remove last entry from Am
709
                                         if AmOut.valid = '1' then
710
                                           del <= to_integer( AmOut.way);
711
                                           toFlush <= AmOut.word;
712
                                           getAm <= '1';
713
                                           hi := '1';
714 20 gerhardhoh
                       serviced <= '1';
715 10 gerhardhoh
                                           statequeue <= queuewait;
716
                                         end if;
717
                                  else
718
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
719
                                         A1In.way <= std_ulogic_vector(to_unsigned( free, ldways + 1));
720
                                         A1In.valid <= '1';
721
                                         putA1 <= '1';
722 20 gerhardhoh
                     serviced <= '0';
723 10 gerhardhoh
                                         statequeue <= queuewaitA11;
724
                                  end if;
725
                                elsif elim /= 15 then
726
                                  if fullA1 = '1' then
727
                                    if A1Out.valid = '1' then
728
                                           if not ( to_integer( A1Out.way) = elim and
729
                                                        A1Out.word = AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords)) then
730
                                             del <= to_integer( A1Out.way);
731
                                             toFlush <= A1Out.word;
732
                                             statequeue <= queueelim;
733
                                           end if;
734
 
735
                                           getA1 <= '1';
736
                                         end if;
737
                                  else
738 20 gerhardhoh
                    if getA1 = '1' then
739
                      preempted <= '1';
740
                    end if;
741
                                        getA1 <= '0'; -- NEW, inserted the only bug!!!!!!!!!!!!!!
742 10 gerhardhoh
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
743 20 gerhardhoh
                                        A1In.way <= std_ulogic_vector(to_unsigned( elim, ldways + 1));
744
                                        A1In.valid <= '1';
745
                                        putA1 <= '1';
746
                                        statequeue <= queueelim;
747 10 gerhardhoh
                                  end if;
748
                                end if;
749
                         end if;
750
                  when queuewait =>
751 20 gerhardhoh
                        removeA1 <= '0';
752
                        removeAm <= '0';
753 10 gerhardhoh
                    getAm <= '0';
754
                    getA1 <= '0';
755 20 gerhardhoh
                        queuedone <= '0';
756 10 gerhardhoh
 
757 20 gerhardhoh
            if hi = '1' then
758
              hi := '0';
759
                          statequeue <= queuestart;
760
                elsif acc = '1' then
761
                          acc := '0';
762
                          del <= 15;
763
                          statequeue <= queuestart;
764
                        end if;
765 10 gerhardhoh
                  when queuewaitAm1 =>
766
                    putAm <= '0';
767 20 gerhardhoh
                        removeA1 <= '0';
768
                        statequeue <= queuewaitAm2;
769 10 gerhardhoh
                  when queuewaitAm2 =>
770 20 gerhardhoh
                        newFiFoAddr <= AmOutAddr;
771
                        newAm <= '1';
772
                        queuedone <= '1';
773
                        statequeue <= queuewait;
774 10 gerhardhoh
                  when queuewaitA11 =>
775
                    putA1 <= '0';
776 20 gerhardhoh
                        statequeue <= queuewaitA12;
777 10 gerhardhoh
                  when queuewaitA12 =>
778 20 gerhardhoh
                        newFiFoAddr <= A1OutAddr;
779
                        newAm <= '0';
780
                        removeA1 <= '0';
781
                        removeAm <= '0';
782
                        queuedone <= '1';
783 10 gerhardhoh
                    preempted <= '0';
784 20 gerhardhoh
                        statequeue <= queuewait;
785 10 gerhardhoh
                  when queueelim =>
786
                    putA1 <= '0';
787 20 gerhardhoh
                        getA1 <= '0';
788 10 gerhardhoh
 
789 20 gerhardhoh
                        if RecBuff.Am = '1' and preempted = '0' then
790
                          AmInAddr <= RecBuff.FiFoAddr;
791
                          removeAm <= '1';
792
                        elsif preempted = '0' then
793
                          A1InAddr <= RecBuff.FiFoAddr;
794
                          removeA1 <= '1';
795
                        end if;
796 10 gerhardhoh
 
797 20 gerhardhoh
                        if getA1 = '1' then
798
                          hi := '1';
799
                          preempted <= '1';
800
                          statequeue <= queuewait;
801
                        else
802
                          statequeue <= queuewaitA12;
803
                        end if;
804 10 gerhardhoh
                end case;
805
 
806
                interrupt <= hi;
807
                accdone <= acc;
808
 
809 11 gerhardhoh
         if putA1 = '1' or removeA1 = '1' then
810
           if removeA1 = '0' then
811
             addressA1 := std_ulogic_vector( firstA1);
812 10 gerhardhoh
                  datumA1 := A1In.valid & A1In.way & A1In.Word;
813 11 gerhardhoh
                  firstA1 <= firstA1 + 1;
814
                  counterA1 <= counterA1 + 1;
815
                  A1Outaddr <= std_ulogic_vector( firstA1);
816
                else
817
                  addressA1 := A1Inaddr( addressA1'range);
818
                  datumA1 := ( others => '0');
819 10 gerhardhoh
                end if;
820 11 gerhardhoh
                wA1 := '1';
821
         else
822
           uaddressA1 := lastA1;
823
           if (getA1 = '1' or A1Out.valid = '0') and counterA1 /= 0 then
824
             counterA1 <= counterA1 - 1;
825
             uaddressA1 := uaddressA1 + 1;
826 10 gerhardhoh
           end if;
827
           lastA1 <= uaddressA1;
828
           addressA1 := std_ulogic_vector( uaddressA1);
829 11 gerhardhoh
           wA1 := '0';
830 10 gerhardhoh
         end if;
831
 
832
         if wA1 = '1' then
833 11 gerhardhoh
           ramA1( to_integer( addressA1)) <= datumA1;
834 10 gerhardhoh
         else
835 11 gerhardhoh
           A1OutBuff := ramA1( to_integer( addressA1));
836 10 gerhardhoh
 
837
      A1Out.Word <= A1OutBuff( blocksizeld - 1 downto 0);
838
      A1Out.way <= A1OutBuff( blocksizeld + ldways downto blocksizeld);
839
                A1Out.valid <= A1OutBuff( blocksizeld + ldways + 1);
840 11 gerhardhoh
         end if;
841 10 gerhardhoh
 
842 11 gerhardhoh
         if putAm = '1' or removeAm = '1' then
843
           if removeAm = '0' then
844
             addressAm := std_ulogic_vector( firstAm);
845 10 gerhardhoh
                  datumAm := AmIn.valid & AmIn.way & AmIn.Word;
846 11 gerhardhoh
                  firstAm <= firstAm + 1;
847
                  counterAm <= counterAm + 1;
848
                  AmOutaddr <= std_ulogic_vector( firstAm);
849
                else
850
                  addressAm := AmInaddr( addressAm'range);
851
                  datumAm := ( others => '0');
852 10 gerhardhoh
                end if;
853 11 gerhardhoh
                wAm := '1';
854
         else
855
           uaddressAm := lastAm;
856
           if (getAm = '1' or AmOut.valid = '0') and counterAm /= 0 then
857
             counterAm <= counterAm - 1;
858
             uaddressAm := uaddressAm + 1;
859 10 gerhardhoh
           end if;
860
           lastAm <= uaddressAm;
861
           addressAm := std_ulogic_vector( uaddressAm);
862 11 gerhardhoh
           wAm := '0';
863 10 gerhardhoh
         end if;
864 11 gerhardhoh
 
865 10 gerhardhoh
         if wAm = '1' then
866 11 gerhardhoh
           ramAm( to_integer( addressAm)) <= datumAm;
867 10 gerhardhoh
         else
868
           AmOutBuff := ramAm( to_integer( addressAm));
869 11 gerhardhoh
 
870 10 gerhardhoh
      AmOut.Word <= AmOutBuff( blocksizeld - 1 downto 0);
871
      AmOut.way <= AmOutBuff( blocksizeld + ldways downto blocksizeld);
872
                AmOut.valid <= AmOutBuff( blocksizeld + ldways + 1);
873
         end if;
874 11 gerhardhoh
         end if;
875 10 gerhardhoh
  end if;
876
  end process queues;
877
 
878
  fullA1 <= counterA1( counterA1'high);
879 11 gerhardhoh
  emptyA1 <= '1' when counterA1 = 0 else '0';
880 10 gerhardhoh
 
881
  fullAm <= counterAm( counterAm'high);
882 11 gerhardhoh
  emptyAm <= '1' when counterAm = 0 else '0';
883 10 gerhardhoh
 
884 11 gerhardhoh
end Rtl;
885
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.