OpenCores
URL https://opencores.org/ocsvn/mytwoqcache/mytwoqcache/trunk

Subversion Repositories mytwoqcache

[/] [mytwoqcache/] [trunk/] [2QCache.vhd] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 gerhardhoh
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    07:41:47 12/14/2010 
6
-- Design Name: 
7
-- Module Name:    Cache - Rtl 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE, work;
21
use IEEE.std_logic_1164.all;
22
use IEEE.std_logic_arith.all;
23
use work.global.all;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity Cache is
31
  generic( constant blocksizeld: integer := 11;
32
                          constant ldways: integer := 1;
33
                          constant ldCachedWords: integer := 2);
34
  port( nReset: in std_ulogic;                                          -- System reset active low
35
        Clock: in std_ulogic;                                           -- System Clock
36 10 gerhardhoh
                  AddressIn: in std_ulogic_vector(RAMrange'high + 1 downto 0);    -- Address of memory fetch
37 11 gerhardhoh
                  DataIn: in std_ulogic_vector( 31 downto 0);                     -- Data to write
38
             IOCode: in std_ulogic_vector(2 downto 0);                                           -- operation
39 10 gerhardhoh
                                                                                  -- Bit
40
                                                                                                                                                                                                --  2    0 read
41
                                                                                                                                                                                                --       1 write
42
                                                                                                                                                                                                -- 1 0   11 word
43
                                                                                                                                                                                                --       10 halfword
44
                                                                                                                                                                                                --       01 single byte
45 11 gerhardhoh
                                                                                                                                                                                                --       00 no operation
46
                  DataOut: out std_ulogic_vector( 31 downto 0);                   -- Data read
47 10 gerhardhoh
                  done: out std_ulogic;
48 11 gerhardhoh
                  -- memory interface
49
                  AddressOut: out std_ulogic_vector(RAMrange'high downto 0);        -- memory address
50
                  DataBlockIn: in std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0);   -- data from memory
51
                  reads: out std_ulogic;                                                      -- read memory
52
                  DataBlockOut: out std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0); -- data to memory
53
                  Mask: out std_ulogic_vector( 2 ** ldCachedWords * 4 - 1 downto 0);          -- enables for each byte active low
54 10 gerhardhoh
                  writes: out std_ulogic;                                                     -- write memory
55 11 gerhardhoh
                  ack: in std_ulogic                                                          -- acknowledge from memory
56
                );
57
end Cache;
58
 
59 10 gerhardhoh
architecture Rtl of Cache is
60
constant ways: integer := 2 ** ldways;
61
constant ldram: integer := blocksizeld + ldways - 1;
62
constant ldqueuelength: integer := ldram;
63
 
64 11 gerhardhoh
type IOType is ( Start, busy);
65
type tType is ( inittag, startt, startt1, tagtest, tagwait, stateget, stateget1, finish, finished);
66 18 gerhardhoh
type rType is ( raminit, ramstart, ramread, ramread1, ramupdate,
67 11 gerhardhoh
                ramupdate1, ramupdate2, ramupdate3, ramflush, ramflush1, ramwait, ramwait1, ramclean, ramclean1);
68
type fType is ( queuestart, queuewait, queuewaitAm1, queuewaitAm2, queuewaitA11, queuewaitA12, queueelim);
69
subtype myint is natural range 15 downto 0;
70
type TagRAMType is record
71
  cacheAddr: std_ulogic_vector( ldram - 1 downto 0);
72
  cacheValid: std_ulogic;
73
  Tag: std_ulogic_vector( RAMrange'high downto 2 + ldCachedWords + blocksizeld);
74
  TagValid: std_ulogic;
75 10 gerhardhoh
end record;
76
type WordType is record
77 11 gerhardhoh
  Word: std_ulogic_vector(31 downto 0);
78 10 gerhardhoh
  Modified: std_ulogic_vector( 3 downto 0);
79
end record;
80 11 gerhardhoh
type WordArray is array ( 2 ** ldCachedWords - 1 downto 0) of WordType;
81
type CacheType is record
82 10 gerhardhoh
  Words: WordArray;
83
  FiFoaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
84 11 gerhardhoh
  Am: std_ulogic;                                                        -- redifined and renamed
85
end record;
86 10 gerhardhoh
type FiFoType is record
87
  Word: std_ulogic_vector( blocksizeld - 1 downto 0);
88
  way: std_ulogic_vector( ldways downto 0);
89
  valid: std_ulogic;
90
end record;
91
 
92
type TagRAMarray is array ( ways - 1 downto 0) of TagRAMType;
93
type TagBuffer is array ( ways - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
94
type TagFile is array ( 2 ** blocksizeld - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
95
type TagFiles is array ( ways - 1 downto 0) of TagFile;
96
 
97
type RAMFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( 35 downto 0);
98
type RAMFiles is array ( 2 ** ldCachedWords - 1 downto 0) of RAMFile;
99
type RAMBuffer is array ( 2 ** ldCachedWords - 1 downto 0) of std_ulogic_vector( 35 downto 0);
100 11 gerhardhoh
type AFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldqueuelength downto 0); -- redimensioned
101 10 gerhardhoh
 
102
type myarrayf is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldram - 1 downto 0);
103
type myarrayA is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
104
 
105
signal RAMs: RAMFiles;
106
signal Ax: AFile;
107 11 gerhardhoh
signal tagRAM: TagFiles;
108 10 gerhardhoh
signal tagdummy, tagBuff, TagRAMIn, TagRAMOut: TagRAMarray;
109
signal RecBuff, CacheIn, CacheOut: CacheType;
110
signal blockIn, blockOut: WordArray;
111
signal DataInh: std_ulogic_vector( 31 downto 0);
112
signal A1In, A1Out, AmIn, AmOut: FiFoType;
113
signal putA1, removeA1, getA1, emptyA1, fullA1: std_ulogic;
114
signal putAm, removeAm, getAm, emptyAm, fullAm: std_ulogic;
115
signal A1Inaddr, A1Outaddr, AmInaddr, AmOutaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
116
signal emptyf, getf, putf: std_ulogic;
117 11 gerhardhoh
signal cindex, FreeOut, FreeIn: std_ulogic_vector( ldram - 1 downto 0);
118
signal ramf: myarrayf;
119
signal counterf: unsigned( ldram downto 0);
120 10 gerhardhoh
signal firstf, lastf: unsigned( ldram - 1 downto 0);
121
signal newFiFoAddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
122 11 gerhardhoh
signal newAm: std_ulogic;  -- redifined and renamed
123 10 gerhardhoh
signal initcount: unsigned( blocksizeld - 1 downto 0);
124
signal initcount1: unsigned( ldram - 1 downto 0);
125 11 gerhardhoh
signal ramA1: myarrayA;
126
signal counterA1: unsigned( ldqueuelength downto 0);
127
signal firstA1, lastA1: unsigned( ldqueuelength - 1 downto 0);
128
signal ramAm: myarrayA;
129
signal counterAm: unsigned( ldqueuelength downto 0);
130
signal firstAm, lastAm: unsigned( ldqueuelength - 1 downto 0);
131 10 gerhardhoh
 
132
signal AddressInh: std_ulogic_vector( AddressIn'high -1 downto 0);
133 11 gerhardhoh
signal IOCodeh: std_ulogic_vector( IOCode'range);
134
signal toFlush, AddressInt: std_ulogic_vector( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
135
signal found, free, elim, del: myint;
136 10 gerhardhoh
signal stateIO: IOType;
137
signal statetag: tType;
138
signal stateram: rType;
139
signal statequeue: fType;
140 22 gerhardhoh
signal enableram, enablequeue, queuedone, readsh, writesh, doneh, preempted, isfull, flag, flag1,
141 13 gerhardhoh
       interrupt, readb, writeb, writec, writet, accdone, accqueue, accinterrupt, serviced, oldint: std_ulogic;
142 15 gerhardhoh
signal gal: std_ulogic_vector( 7 downto 0);
143 11 gerhardhoh
 
144
begin
145 10 gerhardhoh
 
146 11 gerhardhoh
 
147
 
148 10 gerhardhoh
  blockIO: process( nReset, Clock, readb, writeb) is
149
  variable s: std_ulogic;
150
  begin
151
    if nReset /= '1' then
152
           writesh <= '0';
153
                readsh <= '0';
154
                stateIO <= start;
155
    elsif rising_edge(Clock) then
156
           case stateIO is
157
                when start =>
158
                  if readb = '1' then
159
                         Mask <= ( others => '1');
160
                         readsh <= '1';
161
                    stateIO <= busy;
162
                  elsif writeb = '1' then
163
                    s := '0';
164
 
165
                    for i in blockOut'range loop
166
                      DataBlockOut( ( i + 1) * 32 - 1 downto i * 32) <= blockOut( i).word;
167
                           Mask( ( i + 1) * 4 - 1 downto i * 4) <= not blockOut( i).Modified;
168
                                s := s or blockOut( i).Modified(0) or blockOut( i).Modified(1) or
169
                                          blockOut( i).Modified(2) or blockOut( i).Modified(3);
170
                         end loop;
171
 
172
                         writesh <= s;
173
 
174
                         if s = '1' then
175
                      stateIO <= busy;
176
                         end if;
177
                  end if;
178
                when busy =>
179
                  if ack = '1' then
180
                    stateIO <= start;
181
 
182
                    if readsh = '1' then
183
                           for i in blockIn'range loop
184
                        blockIn( i).word <= DataBlockIn( ( i + 1) * 32 - 1 downto i * 32);
185
                                  blockIn( i).Modified <= ( others => '0');
186
                                end loop;
187
                    end if;
188
 
189
                    readsh <= '0';
190
                    writesh <= '0';
191
                  end if;
192
                end case;
193
         end if;
194
  end process blockIO;
195
 
196
  writes <= writesh;
197
  reads <= readsh;
198
 
199
  tagrams: process ( nReset, Clock) is
200
  variable a, b, d: myint;
201 11 gerhardhoh
  variable DataInTag, DataOutTag: TagBuffer;
202 10 gerhardhoh
  begin
203
  if rising_edge(Clock) then
204
    if nReset /= '1' then
205
           statetag <= inittag;
206
                writet <= '0';
207
                enableram <= '0';
208 13 gerhardhoh
           oldint <= '0';
209 10 gerhardhoh
                found <= 15;
210
                free <= 15;
211
                done <= '0'; -- NEW
212
                initcount <= ( others => '0');
213
                AddressInt <= ( others => '0');
214
                IOCodeh <= ( others => '0');
215
                AddressInh <= ( others => '0');
216 15 gerhardhoh
           gal <= ( others => '1');
217 10 gerhardhoh
         else
218 15 gerhardhoh
            gal <= gal( 6 downto 4) & ( gal( 3) xor gal( 7)) & ( gal( 2) xor gal( 7)) & ( gal( 1) xor gal( 7)) & gal( 0) & gal( 7);
219 13 gerhardhoh
         oldint <= interrupt;
220 10 gerhardhoh
           case statetag is
221
                  when inittag =>
222
                    for i in tagRAMIn'range loop
223
                           tagRAMIn(i).tagValid <= '0';
224
                           tagRAMIn(i).tag <= ( others => '0');
225
                           tagRAMIn(i).cacheValid <= '0';
226
                           tagRAMIn(i).cacheAddr <= ( others => '0');
227
                         end loop;
228
                         AddressInt <= std_ulogic_vector(initcount);
229
                         initcount <= initcount + 1;
230
                         if unsigned( not AddressInt) = 0 then
231
                      statetag <= startt;
232
                           writet <= '0';
233
                         else
234
                           writet <= '1';
235
                         end if;
236
                  when startt =>
237
                    if IOCode( 1 downto 0) /= "00" and AddressIn( AddressIn'high) = '0' then
238
                      -- request encountered
239
                                AddressInh <= AddressIn(AddressInh'range);
240 11 gerhardhoh
                                IOCodeh <= IOCode;
241 10 gerhardhoh
                      AddressInt <= AddressIn( AddressInt'range);
242
                                DataInh <= DataIn;
243
                      statetag <= startt1;
244
                    end if;
245
                  when startt1 =>
246
                    statetag <= tagtest;
247
                  when tagtest =>
248 11 gerhardhoh
          a := 15;
249 10 gerhardhoh
                    b := 15;
250 11 gerhardhoh
 
251
               for i in 0 to TagRAMarray'high loop
252
                      if tagRAMOut( i).tagValid = '1' then
253
                   if AddressInh(tagRAMout( i).tag'range) = tagRAMout( i).tag then
254 10 gerhardhoh
                          a := i; -- present
255 11 gerhardhoh
                                  end if;
256
                      else
257
                             b := i; -- free entry
258
                      end if;
259
               end loop;
260 10 gerhardhoh
 
261 11 gerhardhoh
                    found <= a;
262
                    free <= b;
263 15 gerhardhoh
 
264 20 gerhardhoh
            if ways  = 1 then
265
              elim <= 0;
266
            else
267
              elim <= to_integer( gal( ldways - 1 downto 0));
268
            end if;
269 16 gerhardhoh
 
270 10 gerhardhoh
                    if stateram = ramstart then
271
                      enableram <= '1';
272
                      statetag <= tagwait;
273
                         end if;
274
                  when tagwait =>
275
                    writet <= '0';
276
 
277 13 gerhardhoh
                    if interrupt = '1' and oldint = '0' then
278 10 gerhardhoh
                      enableram <= '0';
279 20 gerhardhoh
                          AddressInt <= toFlush;
280
                          statetag <= stateget;
281
                        elsif queuedone = '1' then
282 10 gerhardhoh
                      enableram <= '0';
283 20 gerhardhoh
                          statetag <= finish;
284
                        end if;
285 10 gerhardhoh
                  when stateget =>
286
                         statetag <= stateget1;
287
                  when stateget1 =>
288 20 gerhardhoh
                     enableram <= '1';
289 10 gerhardhoh
                         tagDummy <= tagRAMOut;
290
 
291
                         for i in tagRAMIn'range loop
292
                           if del = i then
293 20 gerhardhoh
                         tagRAMIn( i).tagvalid <= '0';
294 10 gerhardhoh
                             tagRAMIn( i).cacheValid <= '0';
295
                             tagRAMIn( i).tag <= ( others => '0');
296
                             tagRAMIn( i).cacheAddr <= ( others => '0');
297 20 gerhardhoh
                                 writet <= '1';
298 10 gerhardhoh
                           else
299
                             tagRAMIn( i) <= tagRAMOut( i);
300
                           end if;
301
                         end loop;
302
 
303
                         statetag <= tagwait;
304
                  when finish =>
305
                    if doneh = '1' then
306
                           tagRAMIn <= tagBuff;
307 20 gerhardhoh
                           writet <= '1';
308
                       AddressInt <= AddressInh( AddressInt'range);
309
                           done <= '1';
310
                       statetag <= finished;
311 10 gerhardhoh
                    end if;
312
                  when finished => -- NEW
313
                    writet <= '0';
314
                    done <= '0';
315
                    statetag <= startt;
316
                end case;
317
 
318
         for i in tagRAM'range loop
319
      DataInTag( i) := TagRAMIn( i).TagValid & TagRAMIn( i).Tag & TagRAMIn( i).cacheValid & TagRAMIn( i).cacheAddr;
320
 
321
           if writet = '1' then
322
                  tagRAM(i)(to_integer( AddressInt)) <= DataInTag( i);
323
                else
324
                  DataOutTag( i) := tagRAM(i)(to_integer( AddressInt));
325
 
326
             TagRAMOut( i).cacheAddr <= DataOutTag( i)( ldram - 1 downto 0);
327
             TagRAMOut( i).cacheValid <= DataOutTag( i)( ldram);
328
             TagRAMOut( i).Tag <= DataOutTag( i)( DataOutTag( 0)'high - 1 downto ldram + 1);
329
             TagRAMOut( i).TagValid <= DataOutTag( i)( DataOutTag( 0)'high);
330
                end if;
331
         end loop;
332
         end if;
333
  end if;
334
  end Process tagrams;
335
 
336
  dataram: process (nReset, Clock, enableram) is
337
  variable en, acc, hi: std_ulogic;
338
  variable f, g: std_ulogic_vector( CacheIn.FiFoAddr'length downto 0);
339
  variable a, b: RAMBuffer;
340
  variable index, index1: integer;
341
 
342 11 gerhardhoh
  variable address: std_ulogic_vector( ldram - 1 downto 0);
343
  variable uaddress: unsigned( ldram - 1 downto 0);
344 10 gerhardhoh
  variable datum:  std_ulogic_vector( FreeIn'range);
345 11 gerhardhoh
  variable w: std_ulogic;
346 10 gerhardhoh
  begin
347
  if rising_edge(Clock) then
348
    if nReset /= '1' then
349
           enablequeue <= '0';
350
           stateram <= raminit;
351
                writec <= '0';
352
                writeb <= '0';
353
                readb <= '0';
354
                getf <= '0';
355
                putf <= '0'; -- NEW inserted
356
                doneh <= '0';
357
                accinterrupt <= '0';
358
                accqueue <= '0';
359 20 gerhardhoh
                isfull <= '0';
360
                flag <= '0';
361 22 gerhardhoh
                flag1 <= '1';
362 10 gerhardhoh
                initcount1 <= ( others => '0');
363
                FreeIn <= ( others => '0');
364 11 gerhardhoh
                firstf <= ( others => '0');
365
                lastf <= ( others => '0');
366
                counterf <= ( others => '0');
367 10 gerhardhoh
         else
368 13 gerhardhoh
           hi := accinterrupt or (interrupt and not oldint);
369 10 gerhardhoh
                acc := accqueue or queuedone;
370 13 gerhardhoh
                en := enablequeue and not acc;
371 10 gerhardhoh
 
372
                if ldCachedWords = 0 then
373
                  index := 0;
374
                else
375
                  index := to_integer( AddressInh( ldCachedWords + 1 downto 2));
376
                end if;
377
 
378
           case stateram is
379
                  when raminit =>
380
                         FreeIn <= std_ulogic_vector( initcount1);
381 20 gerhardhoh
             initcount1 <= initcount1 + 1;
382
 
383 10 gerhardhoh
                         if unsigned( not FreeIn) = 0 then
384
                           stateram <= ramstart;
385
                           putf <= '0';
386
                         else
387
                           putf <= '1';
388
                         end if;
389
                  when ramstart =>
390 20 gerhardhoh
                     if enableram = '1' then -- UPDATE
391
                           if found /= 15 then
392 22 gerhardhoh
                              tagBuff <= tagRAMOut;
393 18 gerhardhoh
                                  cindex <= tagRAMOut( found).cacheAddr;
394 20 gerhardhoh
                                  isfull <= '0';
395 10 gerhardhoh
                                  stateram <= ramupdate;
396 20 gerhardhoh
                           elsif free /= 15 then
397 10 gerhardhoh
                                  en := '1';
398 20 gerhardhoh
                                  if emptyf = '1' and isfull = '0' then
399
                                    isfull <= '1';
400 22 gerhardhoh
                                tagBuff <= tagRAMOut;
401 20 gerhardhoh
                                    stateram <= ramwait;
402
                                  else
403
                                    cindex <= FreeOut;
404 21 gerhardhoh
                                        if isfull = '1' then
405
                                      tagBuff( free).cacheAddr <= FreeOut;
406
                                      tagBuff( free).cacheValid <= '1';
407
                                      tagBuff( free).tag <= AddressInh( tagBuff( free).tag'range);
408
                                      tagBuff( free).tagValid <= '1';
409
                                        else
410
                                      tagRAMOut( free).cacheAddr <= FreeOut;
411
                                      tagRAMOut( free).cacheValid <= '1';
412
                                      tagRAMOut( free).tag <= AddressInh( tagRAMOut( free).tag'range);
413
                                      tagRAMOut( free).tagValid <= '1';
414 22 gerhardhoh
                                          flag1 <= '1';
415 21 gerhardhoh
                                        end if;
416 20 gerhardhoh
                                    isfull <= '0';
417
                                    getf <= '1';
418
                                    if IOCodeh = "111" and ldCachedWords = 0 then
419
                                      stateram <= ramupdate2;
420
                                    else
421
                                      readb <= '1';
422
                                  AddressOut <= AddressInh( AddressOut'range);
423
                                      stateram <= ramread;
424
                                    end if;
425
                                  end if;
426 10 gerhardhoh
                                else
427 23 gerhardhoh
                              tagBuff <= tagRAMOut;
428 18 gerhardhoh
                                  cindex <= tagRAMOut( elim).cacheAddr;
429 20 gerhardhoh
                                  isfull <= '0';
430 15 gerhardhoh
                                  stateram <= ramupdate;
431 10 gerhardhoh
                                end if;
432
                         end if;
433
                  when ramupdate =>
434
                    stateram <= ramupdate1;
435
                  when ramupdate1 =>
436 20 gerhardhoh
                     cacheIn <= cacheOut;
437 10 gerhardhoh
                         blockOut <= cacheOut.Words;
438
                         RecBuff <= cacheOut;
439
                         en := '1';
440 20 gerhardhoh
                         if found /= 15 then
441
                           stateram <= ramupdate2;
442
                         else
443
                           AddressOut <= tagBuff( elim).tag & AddressInh( AddressInt'range) & ( ldCachedWords + 1 downto 0 => '0');
444
                       writeb <= '1';
445
                           flag <= '1';
446
                           stateram <= ramflush;
447
                         end if;
448 10 gerhardhoh
                  when ramwait =>
449
                    if hi = '1' then
450 20 gerhardhoh
                          stateram <= ramwait1;
451
                        end if;
452 10 gerhardhoh
                  when ramwait1 =>
453 20 gerhardhoh
                         writec <= '0';
454
 
455 10 gerhardhoh
                         if del /= 15 and enableram = '1' then
456 11 gerhardhoh
                           if toflush = AddressInh( toflush'range) then -- inserted, tagline could match flushing tagline !!!!
457 20 gerhardhoh
                         tagBuff( del).tagvalid <= '0';
458 10 gerhardhoh
                             tagBuff( del).cacheValid <= '0';
459
                             tagBuff( del).tag <= ( others => '0');
460
                             tagBuff( del).cacheAddr <= ( others => '0');
461 20 gerhardhoh
                           end if;
462 10 gerhardhoh
                           cindex <= tagdummy( del).cacheAddr;
463 20 gerhardhoh
                           FreeIn <= tagdummy( del).cacheAddr;
464
                           putf <= tagdummy( del).cacheValid;
465 10 gerhardhoh
                           stateram <= ramclean;
466
                         end if;
467
                  when ramread =>
468
                    readb <= '0';
469 20 gerhardhoh
                        getf <= '0';
470 22 gerhardhoh
                        if flag1 = '1' then
471
                          tagBuff <= tagRAMOut;
472
                          flag1 <= '0';
473
                        end if;
474 10 gerhardhoh
                    stateram <= ramread1;
475
                  when ramread1 =>
476
                    if readsh = '0' then
477
                           for i in blockIn'range loop
478
                                  cacheIn.Words( i) <= blockIn( i);
479
                                end loop;
480
                      stateram <= ramupdate2;
481
                         end if;
482
                  when ramupdate2 =>
483 22 gerhardhoh
                        if flag1 = '1' then
484
                          tagBuff <= tagRAMOut;
485
                          flag1 <= '0';
486
                        end if;
487 10 gerhardhoh
                    if IOCodeh(2) = '1' then
488
                           if IOCodeh(1) = '1' then
489
                                  If IOCodeh(0) = '1' then
490
                                    cacheIn.Words( index).Word <= DataInh;
491 20 gerhardhoh
                                        cacheIn.Words( index).Modified <= "1111";
492 10 gerhardhoh
                                  elsif AddressInh(1) = '1' then
493
                                    cacheIn.Words( index).Word( 31 downto 16) <= DataInh( 15 downto 0);
494 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 3 downto 2) <= "11";
495 10 gerhardhoh
                                  else
496
                                    cacheIn.Words( index).Word( 15 downto 0) <= DataInh( 15 downto 0);
497 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 1 downto 0) <= "11";
498 10 gerhardhoh
                                  end if;
499
                                else
500
                                  if AddressInh(1) = '0' then
501
                                    if AddressInh(0) = '0' then
502
                                           cacheIn.Words( index).Word( 7 downto 0) <= DataInh( 7 downto 0);
503 20 gerhardhoh
                                           cacheIn.Words( index).Modified(0) <= '1';
504 10 gerhardhoh
                                    else
505
                                           cacheIn.Words( index).Word( 15 downto 8) <= DataInh( 7 downto 0);
506 20 gerhardhoh
                                           cacheIn.Words( index).Modified(1) <= '1';
507 10 gerhardhoh
                                         end if;
508
                                  else
509
                                    if AddressInh(0) = '0' then
510
                                           cacheIn.Words( index).Word( 23 downto 16) <= DataInh( 7 downto 0);
511 20 gerhardhoh
                                           cacheIn.Words( index).Modified(2) <= '1';
512 10 gerhardhoh
                                    else
513
                                           cacheIn.Words( index).Word( 31 downto 24) <= DataInh( 7 downto 0);
514 20 gerhardhoh
                                           cacheIn.Words( index).Modified(3) <= '1';
515 10 gerhardhoh
                                         end if;
516
                                  end if;
517
                                end if;
518
                         else
519
                           DataOut <= cacheIn.Words( index).Word;
520
                         end if;
521
 
522
                         cacheIn.FiFoAddr <= newFiFoAddr;
523
                         cacheIn.Am <= newAm;
524
 
525
                         getf <= '0';
526
                         writec <= '1';
527 20 gerhardhoh
 
528
                         if hi = '1' then
529
                           stateram <= ramwait1;
530
                         elsif acc = '1' then
531
                           doneh <= '1';
532
                           stateram <= ramupdate3;
533
                         end if;
534 10 gerhardhoh
                  when ramupdate3 =>
535
                    hi := '0';
536 20 gerhardhoh
                        acc := '0';
537
                        en := '0';
538
                        writec <= '0';
539 10 gerhardhoh
                    doneh <= '0';
540 20 gerhardhoh
                        stateram <= ramstart;
541 10 gerhardhoh
                  when ramclean =>
542
                    putf <= '0';
543
                    stateram <= ramclean1;
544
                  when ramclean1 =>
545
                         if del /= 15 then
546
                           blockOut <= cacheOut.words;
547 20 gerhardhoh
                           writeb <= tagdummy( del).tagValid;
548
                           AddressOut <= tagdummy( del).tag & toFlush & ( ldCachedWords + 1 downto 0 => '0');
549 10 gerhardhoh
                           stateram <= ramflush;
550
                         end if;
551
                  when ramflush =>
552
                    writeb <= '0';
553
                         for i in blockIn'range loop
554
                      cacheIn.Words( i).Word <= ( others => '0');
555 20 gerhardhoh
                          cacheIn.Words( i).Modified <= ( others => '0');
556 10 gerhardhoh
                         end loop;
557
 
558
                         stateram <= ramflush1;
559
                  when ramflush1 =>
560
                         if writesh = '0' then
561 20 gerhardhoh
                           if flag = '1' then
562
                                 tagBuff( elim).tag <= AddressInh( tagBuff( elim).tag'range);
563
                                 tagBuff( elim).tagValid <= '1';
564
                                 flag <= '0';
565
                                 if IOCodeh = "111" and ldCachedWords = 0 then
566
                                   stateram <= ramupdate2;
567
                                 else
568
                                   readb <= '1';
569
                                   AddressOut <= AddressInh( AddressOut'range);
570
                                   stateram <= ramread;
571
                                 end if;
572
                           elsif isfull = '1' then
573
                             hi := '0';
574
                                 stateram <= ramstart;
575
                           elsif acc = '1' then
576
                                 doneh <= '1';
577
                             stateram <= ramupdate3;
578
                           end if;
579 10 gerhardhoh
                         end if;
580
                end case;
581
 
582
                accinterrupt <= hi;
583
                enablequeue <= en;
584
                accqueue <= acc;
585
 
586
         f := CacheIn.Am & CacheIn.FiFoAddr;
587
         if writec = '1' then
588
           Ax( to_integer( cindex)) <= f;
589
         else
590
           g := Ax( to_integer( cindex));
591
                CacheOut.FiFoAddr <= g( g'high - 1 downto g'low);
592
                CacheOut.Am <= g( g'high);
593
         end if;
594
 
595
         for i in RAMBuffer'range loop
596
           a( i) := CacheIn.Words( i).Modified & CacheIn.Words( i).Word;
597
                if writec = '1' then
598
                  RAMs( i)( to_integer( cindex)) <= a( i);
599
                else
600
                  b( i) := RAMs( i)( to_integer( cindex));
601
                  CacheOut.Words( i).Word <= b( i)( 31 downto 0);
602
                  CacheOut.Words( i).Modified <= b( i)( 35 downto 32);
603
                end if;
604
         end loop;
605
 
606 11 gerhardhoh
         if putf = '1' then
607
           address := std_ulogic_vector( firstf);
608
                datum := FreeIn;
609
                firstf <= firstf + 1;
610
                counterf <= counterf + 1;
611 10 gerhardhoh
                w := '1';
612
         else
613 11 gerhardhoh
           uaddress := lastf;
614
           if getf = '1' and counterf /= 0 then
615 10 gerhardhoh
             counterf <= counterf - 1;
616 11 gerhardhoh
                  uaddress := uaddress + 1;
617 10 gerhardhoh
           end if;
618 11 gerhardhoh
                lastf <= uaddress;
619 10 gerhardhoh
                address := std_ulogic_vector( uaddress);
620 11 gerhardhoh
                w := '0';
621
         end if;
622 10 gerhardhoh
 
623
         if w = '1' then
624 11 gerhardhoh
           ramf( to_integer( address)) <= datum;
625 10 gerhardhoh
         else
626 11 gerhardhoh
           FreeOut <= ramf( to_integer( address));
627
         end if;
628 10 gerhardhoh
 
629
         end if;
630
  end if;
631
  end process dataram;
632
 
633
  emptyf <= '1' when counterf = 0 else '0';
634
 
635
  queues: process( nReset, Clock, enablequeue) is
636
  variable acc, hi: std_ulogic;
637
  variable A1OutBuff, AmOutBuff: std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
638 11 gerhardhoh
  variable addressA1: std_ulogic_vector( ldqueuelength - 1 downto 0);
639
  variable diff, uaddressA1: unsigned( ldqueuelength - 1 downto 0);
640 10 gerhardhoh
  variable datumA1:  std_ulogic_vector( A1OutBuff'range);
641 11 gerhardhoh
  variable wA1: std_ulogic;
642
  variable addressAm: std_ulogic_vector( ldqueuelength - 1 downto 0);
643
  variable uaddressAm: unsigned( ldqueuelength - 1 downto 0);
644 10 gerhardhoh
  variable datumAm:  std_ulogic_vector( AmOutBuff'range);
645 11 gerhardhoh
  variable wAm: std_ulogic;
646 10 gerhardhoh
  begin
647
  if rising_edge(Clock) then
648
    if nReset /= '1' then
649
                del <= 15;
650
           statequeue <= queuestart;
651
           queuedone <= '0';
652
                interrupt <= '0';
653
                accdone <= '0';
654
                preempted <= '0';
655 11 gerhardhoh
                firstA1 <= ( others => '0');
656
                A1Outaddr <= ( others => '0');
657
                lastA1 <= ( others => '0');
658 10 gerhardhoh
                counterA1 <= ( others => '0');
659 11 gerhardhoh
                firstAm <= ( others => '0');
660
                AmOutaddr <= ( others => '0');
661
                lastAm <= ( others => '0');
662 10 gerhardhoh
                counterAm <= ( others => '0');
663
                getA1 <= '0'; -- NEW
664
                getAm <= '0'; -- NEW
665
                removeA1 <= '0'; -- NEW
666
                removeAm <= '0'; -- NEW
667
                putA1 <= '0'; -- NEW
668 11 gerhardhoh
                putAm <= '0'; -- NEW
669 20 gerhardhoh
        serviced <= '0';
670 10 gerhardhoh
         else
671 13 gerhardhoh
           hi := interrupt;
672 20 gerhardhoh
           acc := accdone or doneh;
673 10 gerhardhoh
 
674 20 gerhardhoh
           diff := firstA1 - unsigned( RecBuff.FiFoAddr);
675 10 gerhardhoh
 
676
           case statequeue is
677
                  when queuestart =>
678
                         getA1 <= '0';
679
 
680
                    if enablequeue = '1' then
681
                           if found /= 15 then
682
                                  if RecBuff.Am = '1' or                                -- in Am
683
                                    ( RecBuff.Am = '0' and diff( diff'high) = '0') then -- in lower half of A1
684 20 gerhardhoh
                                     queuedone <= '1';
685 10 gerhardhoh
                                         newFiFoAddr <= RecBuff.FiFoAddr;
686
                                         newAm <= RecBuff.Am;
687 20 gerhardhoh
                                 statequeue <= queuewait;
688 10 gerhardhoh
                                  elsif fullAm = '1' then
689
                                    -- Am full
690
                                         if AmOut.valid = '1' then
691
                                           del <= to_integer( AmOut.way);
692 20 gerhardhoh
                                           toFlush <= AmOut.word;
693
                                           getAm <= '1';
694 10 gerhardhoh
                                           hi := '1';
695
                                           statequeue <= queuewait;
696
                                         end if;
697
                                  else
698
                                    AmIn.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
699 20 gerhardhoh
                                        AmIn.way <= std_ulogic_vector(to_unsigned( found, ldways + 1));
700
                                        AmIn.valid <= '1';
701
                                        putAm <= '1';
702
                                        A1Inaddr <= RecBuff.FiFoAddr;
703
                                        removeA1 <= '1';
704
                                        statequeue <= queuewaitAm1;
705 10 gerhardhoh
                                  end if;
706
                                elsif free /= 15 then
707 20 gerhardhoh
                                  if fullA1 = '1' or (isfull = '1' and emptyA1 = '0' and serviced = '0') then
708 10 gerhardhoh
                                    -- remove last entry from A1
709
                                         if A1Out.valid = '1' then
710
                                           del <= to_integer( A1Out.way);
711
                                           toFlush <= A1Out.word;
712
                                           getA1 <= '1';
713
                                           hi := '1';
714 20 gerhardhoh
                       serviced <= '1';
715 10 gerhardhoh
                                           statequeue <= queuewait;
716
                                         end if;
717 20 gerhardhoh
                                  elsif emptyAm = '0' and isfull = '1' and serviced = '0' then
718 10 gerhardhoh
                                    -- remove last entry from Am
719
                                         if AmOut.valid = '1' then
720
                                           del <= to_integer( AmOut.way);
721
                                           toFlush <= AmOut.word;
722
                                           getAm <= '1';
723
                                           hi := '1';
724 20 gerhardhoh
                       serviced <= '1';
725 10 gerhardhoh
                                           statequeue <= queuewait;
726
                                         end if;
727
                                  else
728
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
729
                                         A1In.way <= std_ulogic_vector(to_unsigned( free, ldways + 1));
730
                                         A1In.valid <= '1';
731
                                         putA1 <= '1';
732 20 gerhardhoh
                     serviced <= '0';
733 10 gerhardhoh
                                         statequeue <= queuewaitA11;
734
                                  end if;
735
                                elsif elim /= 15 then
736
                                  if fullA1 = '1' then
737
                                    if A1Out.valid = '1' then
738
                                           if not ( to_integer( A1Out.way) = elim and
739
                                                        A1Out.word = AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords)) then
740
                                             del <= to_integer( A1Out.way);
741
                                             toFlush <= A1Out.word;
742
                                             statequeue <= queueelim;
743
                                           end if;
744
 
745
                                           getA1 <= '1';
746
                                         end if;
747
                                  else
748 20 gerhardhoh
                    if getA1 = '1' then
749
                      preempted <= '1';
750
                    end if;
751
                                        getA1 <= '0'; -- NEW, inserted the only bug!!!!!!!!!!!!!!
752 10 gerhardhoh
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
753 20 gerhardhoh
                                        A1In.way <= std_ulogic_vector(to_unsigned( elim, ldways + 1));
754
                                        A1In.valid <= '1';
755
                                        putA1 <= '1';
756
                                        statequeue <= queueelim;
757 10 gerhardhoh
                                  end if;
758
                                end if;
759
                         end if;
760
                  when queuewait =>
761 20 gerhardhoh
                        removeA1 <= '0';
762
                        removeAm <= '0';
763 10 gerhardhoh
                    getAm <= '0';
764
                    getA1 <= '0';
765 20 gerhardhoh
                        queuedone <= '0';
766 10 gerhardhoh
 
767 20 gerhardhoh
            if hi = '1' then
768
              hi := '0';
769
                          statequeue <= queuestart;
770
                elsif acc = '1' then
771
                          acc := '0';
772
                          del <= 15;
773
                          statequeue <= queuestart;
774
                        end if;
775 10 gerhardhoh
                  when queuewaitAm1 =>
776
                    putAm <= '0';
777 20 gerhardhoh
                        removeA1 <= '0';
778
                        statequeue <= queuewaitAm2;
779 10 gerhardhoh
                  when queuewaitAm2 =>
780 20 gerhardhoh
                        newFiFoAddr <= AmOutAddr;
781
                        newAm <= '1';
782
                        queuedone <= '1';
783
                        statequeue <= queuewait;
784 10 gerhardhoh
                  when queuewaitA11 =>
785
                    putA1 <= '0';
786 20 gerhardhoh
                        statequeue <= queuewaitA12;
787 10 gerhardhoh
                  when queuewaitA12 =>
788 20 gerhardhoh
                        newFiFoAddr <= A1OutAddr;
789
                        newAm <= '0';
790
                        removeA1 <= '0';
791
                        removeAm <= '0';
792
                        queuedone <= '1';
793 10 gerhardhoh
                    preempted <= '0';
794 20 gerhardhoh
                        statequeue <= queuewait;
795 10 gerhardhoh
                  when queueelim =>
796
                    putA1 <= '0';
797 20 gerhardhoh
                        getA1 <= '0';
798 10 gerhardhoh
 
799 20 gerhardhoh
                        if RecBuff.Am = '1' and preempted = '0' then
800
                          AmInAddr <= RecBuff.FiFoAddr;
801
                          removeAm <= '1';
802
                        elsif preempted = '0' then
803
                          A1InAddr <= RecBuff.FiFoAddr;
804
                          removeA1 <= '1';
805
                        end if;
806 10 gerhardhoh
 
807 20 gerhardhoh
                        if getA1 = '1' then
808
                          hi := '1';
809
                          preempted <= '1';
810
                          statequeue <= queuewait;
811
                        else
812
                          statequeue <= queuewaitA12;
813
                        end if;
814 10 gerhardhoh
                end case;
815
 
816
                interrupt <= hi;
817
                accdone <= acc;
818
 
819 11 gerhardhoh
         if putA1 = '1' or removeA1 = '1' then
820
           if removeA1 = '0' then
821
             addressA1 := std_ulogic_vector( firstA1);
822 10 gerhardhoh
                  datumA1 := A1In.valid & A1In.way & A1In.Word;
823 11 gerhardhoh
                  firstA1 <= firstA1 + 1;
824
                  counterA1 <= counterA1 + 1;
825
                  A1Outaddr <= std_ulogic_vector( firstA1);
826
                else
827
                  addressA1 := A1Inaddr( addressA1'range);
828
                  datumA1 := ( others => '0');
829 10 gerhardhoh
                end if;
830 11 gerhardhoh
                wA1 := '1';
831
         else
832
           uaddressA1 := lastA1;
833
           if (getA1 = '1' or A1Out.valid = '0') and counterA1 /= 0 then
834
             counterA1 <= counterA1 - 1;
835
             uaddressA1 := uaddressA1 + 1;
836 10 gerhardhoh
           end if;
837
           lastA1 <= uaddressA1;
838
           addressA1 := std_ulogic_vector( uaddressA1);
839 11 gerhardhoh
           wA1 := '0';
840 10 gerhardhoh
         end if;
841
 
842
         if wA1 = '1' then
843 11 gerhardhoh
           ramA1( to_integer( addressA1)) <= datumA1;
844 10 gerhardhoh
         else
845 11 gerhardhoh
           A1OutBuff := ramA1( to_integer( addressA1));
846 10 gerhardhoh
 
847
      A1Out.Word <= A1OutBuff( blocksizeld - 1 downto 0);
848
      A1Out.way <= A1OutBuff( blocksizeld + ldways downto blocksizeld);
849
                A1Out.valid <= A1OutBuff( blocksizeld + ldways + 1);
850 11 gerhardhoh
         end if;
851 10 gerhardhoh
 
852 11 gerhardhoh
         if putAm = '1' or removeAm = '1' then
853
           if removeAm = '0' then
854
             addressAm := std_ulogic_vector( firstAm);
855 10 gerhardhoh
                  datumAm := AmIn.valid & AmIn.way & AmIn.Word;
856 11 gerhardhoh
                  firstAm <= firstAm + 1;
857
                  counterAm <= counterAm + 1;
858
                  AmOutaddr <= std_ulogic_vector( firstAm);
859
                else
860
                  addressAm := AmInaddr( addressAm'range);
861
                  datumAm := ( others => '0');
862 10 gerhardhoh
                end if;
863 11 gerhardhoh
                wAm := '1';
864
         else
865
           uaddressAm := lastAm;
866
           if (getAm = '1' or AmOut.valid = '0') and counterAm /= 0 then
867
             counterAm <= counterAm - 1;
868
             uaddressAm := uaddressAm + 1;
869 10 gerhardhoh
           end if;
870
           lastAm <= uaddressAm;
871
           addressAm := std_ulogic_vector( uaddressAm);
872 11 gerhardhoh
           wAm := '0';
873 10 gerhardhoh
         end if;
874 11 gerhardhoh
 
875 10 gerhardhoh
         if wAm = '1' then
876 11 gerhardhoh
           ramAm( to_integer( addressAm)) <= datumAm;
877 10 gerhardhoh
         else
878
           AmOutBuff := ramAm( to_integer( addressAm));
879 11 gerhardhoh
 
880 10 gerhardhoh
      AmOut.Word <= AmOutBuff( blocksizeld - 1 downto 0);
881
      AmOut.way <= AmOutBuff( blocksizeld + ldways downto blocksizeld);
882
                AmOut.valid <= AmOutBuff( blocksizeld + ldways + 1);
883
         end if;
884 11 gerhardhoh
         end if;
885 10 gerhardhoh
  end if;
886
  end process queues;
887
 
888
  fullA1 <= counterA1( counterA1'high);
889 11 gerhardhoh
  emptyA1 <= '1' when counterA1 = 0 else '0';
890 10 gerhardhoh
 
891
  fullAm <= counterAm( counterAm'high);
892 11 gerhardhoh
  emptyAm <= '1' when counterAm = 0 else '0';
893 10 gerhardhoh
 
894 11 gerhardhoh
end Rtl;
895
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.