OpenCores
URL https://opencores.org/ocsvn/mytwoqcache/mytwoqcache/trunk

Subversion Repositories mytwoqcache

[/] [mytwoqcache/] [trunk/] [2QCache.vhd] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 gerhardhoh
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    07:41:47 12/14/2010 
6
-- Design Name: 
7
-- Module Name:    Cache - Rtl 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE, work;
21
use IEEE.std_logic_1164.all;
22
use IEEE.std_logic_arith.all;
23
use work.global.all;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity Cache is
31
  generic( constant blocksizeld: integer := 11;
32
                          constant ldways: integer := 1;
33
                          constant ldCachedWords: integer := 2);
34
  port( nReset: in std_ulogic;                                          -- System reset active low
35
        Clock: in std_ulogic;                                           -- System Clock
36 10 gerhardhoh
                  AddressIn: in std_ulogic_vector(RAMrange'high + 1 downto 0);    -- Address of memory fetch
37 11 gerhardhoh
                  DataIn: in std_ulogic_vector( 31 downto 0);                     -- Data to write
38
             IOCode: in std_ulogic_vector(2 downto 0);                                           -- operation
39 10 gerhardhoh
                                                                                  -- Bit
40
                                                                                                                                                                                                --  2    0 read
41
                                                                                                                                                                                                --       1 write
42
                                                                                                                                                                                                -- 1 0   11 word
43
                                                                                                                                                                                                --       10 halfword
44
                                                                                                                                                                                                --       01 single byte
45 11 gerhardhoh
                                                                                                                                                                                                --       00 no operation
46
                  DataOut: out std_ulogic_vector( 31 downto 0);                   -- Data read
47 10 gerhardhoh
                  done: out std_ulogic;
48 11 gerhardhoh
                  -- memory interface
49
                  AddressOut: out std_ulogic_vector(RAMrange'high downto 0);        -- memory address
50
                  DataBlockIn: in std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0);   -- data from memory
51
                  reads: out std_ulogic;                                                      -- read memory
52
                  DataBlockOut: out std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0); -- data to memory
53
                  Mask: out std_ulogic_vector( 2 ** ldCachedWords * 4 - 1 downto 0);          -- enables for each byte active low
54 10 gerhardhoh
                  writes: out std_ulogic;                                                     -- write memory
55 11 gerhardhoh
                  ack: in std_ulogic                                                          -- acknowledge from memory
56
                );
57
end Cache;
58
 
59 10 gerhardhoh
architecture Rtl of Cache is
60
constant ways: integer := 2 ** ldways;
61
constant ldram: integer := blocksizeld + ldways - 1;
62
constant ldqueuelength: integer := ldram;
63
 
64 11 gerhardhoh
type IOType is ( Start, busy);
65
type tType is ( inittag, startt, startt1, tagtest, tagwait, stateget, stateget1, finish, finished);
66 18 gerhardhoh
type rType is ( raminit, ramstart, ramread, ramread1, ramupdate,
67 11 gerhardhoh
                ramupdate1, ramupdate2, ramupdate3, ramflush, ramflush1, ramwait, ramwait1, ramclean, ramclean1);
68
type fType is ( queuestart, queuewait, queuewaitAm1, queuewaitAm2, queuewaitA11, queuewaitA12, queueelim);
69
subtype myint is natural range 15 downto 0;
70
type TagRAMType is record
71
  cacheAddr: std_ulogic_vector( ldram - 1 downto 0);
72
  cacheValid: std_ulogic;
73
  Tag: std_ulogic_vector( RAMrange'high downto 2 + ldCachedWords + blocksizeld);
74
  TagValid: std_ulogic;
75 10 gerhardhoh
end record;
76
type WordType is record
77 11 gerhardhoh
  Word: std_ulogic_vector(31 downto 0);
78 10 gerhardhoh
  Modified: std_ulogic_vector( 3 downto 0);
79
end record;
80 11 gerhardhoh
type WordArray is array ( 2 ** ldCachedWords - 1 downto 0) of WordType;
81
type CacheType is record
82 10 gerhardhoh
  Words: WordArray;
83
  FiFoaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
84 11 gerhardhoh
  Am: std_ulogic;                                                        -- redifined and renamed
85
end record;
86 10 gerhardhoh
type FiFoType is record
87
  Word: std_ulogic_vector( blocksizeld - 1 downto 0);
88
  way: std_ulogic_vector( ldways downto 0);
89
  valid: std_ulogic;
90
end record;
91
 
92
type TagRAMarray is array ( ways - 1 downto 0) of TagRAMType;
93
type TagBuffer is array ( ways - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
94
type TagFile is array ( 2 ** blocksizeld - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
95
type TagFiles is array ( ways - 1 downto 0) of TagFile;
96
 
97
type RAMFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( 35 downto 0);
98
type RAMFiles is array ( 2 ** ldCachedWords - 1 downto 0) of RAMFile;
99
type RAMBuffer is array ( 2 ** ldCachedWords - 1 downto 0) of std_ulogic_vector( 35 downto 0);
100 11 gerhardhoh
type AFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldqueuelength downto 0); -- redimensioned
101 10 gerhardhoh
 
102
type myarrayf is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldram - 1 downto 0);
103
type myarrayA is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
104
 
105
signal RAMs: RAMFiles;
106
signal Ax: AFile;
107 11 gerhardhoh
signal tagRAM: TagFiles;
108 10 gerhardhoh
signal tagdummy, tagBuff, TagRAMIn, TagRAMOut: TagRAMarray;
109
signal RecBuff, CacheIn, CacheOut: CacheType;
110
signal blockIn, blockOut: WordArray;
111
signal DataInh: std_ulogic_vector( 31 downto 0);
112
signal A1In, A1Out, AmIn, AmOut: FiFoType;
113
signal putA1, removeA1, getA1, emptyA1, fullA1: std_ulogic;
114
signal putAm, removeAm, getAm, emptyAm, fullAm: std_ulogic;
115
signal A1Inaddr, A1Outaddr, AmInaddr, AmOutaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
116
signal emptyf, getf, putf: std_ulogic;
117 11 gerhardhoh
signal cindex, FreeOut, FreeIn: std_ulogic_vector( ldram - 1 downto 0);
118
signal ramf: myarrayf;
119
signal counterf: unsigned( ldram downto 0);
120 10 gerhardhoh
signal firstf, lastf: unsigned( ldram - 1 downto 0);
121
signal newFiFoAddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
122 11 gerhardhoh
signal newAm: std_ulogic;  -- redifined and renamed
123 10 gerhardhoh
signal initcount: unsigned( blocksizeld - 1 downto 0);
124
signal initcount1: unsigned( ldram - 1 downto 0);
125 11 gerhardhoh
signal ramA1: myarrayA;
126
signal counterA1: unsigned( ldqueuelength downto 0);
127
signal firstA1, lastA1: unsigned( ldqueuelength - 1 downto 0);
128
signal ramAm: myarrayA;
129
signal counterAm: unsigned( ldqueuelength downto 0);
130
signal firstAm, lastAm: unsigned( ldqueuelength - 1 downto 0);
131 10 gerhardhoh
 
132
signal AddressInh: std_ulogic_vector( AddressIn'high -1 downto 0);
133 11 gerhardhoh
signal IOCodeh: std_ulogic_vector( IOCode'range);
134
signal toFlush, AddressInt: std_ulogic_vector( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
135
signal found, free, elim, del: myint;
136 10 gerhardhoh
signal stateIO: IOType;
137
signal statetag: tType;
138
signal stateram: rType;
139
signal statequeue: fType;
140 24 gerhardhoh
signal enableram, enablequeue, queuedone, readsh, writesh, doneh, preempted, isfull, flag,
141 13 gerhardhoh
       interrupt, readb, writeb, writec, writet, accdone, accqueue, accinterrupt, serviced, oldint: std_ulogic;
142 15 gerhardhoh
signal gal: std_ulogic_vector( 7 downto 0);
143 11 gerhardhoh
 
144
begin
145 10 gerhardhoh
 
146 11 gerhardhoh
 
147
 
148 10 gerhardhoh
  blockIO: process( nReset, Clock, readb, writeb) is
149
  variable s: std_ulogic;
150
  begin
151
    if nReset /= '1' then
152
           writesh <= '0';
153
                readsh <= '0';
154
                stateIO <= start;
155
    elsif rising_edge(Clock) then
156
           case stateIO is
157
                when start =>
158
                  if readb = '1' then
159
                         Mask <= ( others => '1');
160
                         readsh <= '1';
161
                    stateIO <= busy;
162
                  elsif writeb = '1' then
163
                    s := '0';
164
 
165
                    for i in blockOut'range loop
166
                      DataBlockOut( ( i + 1) * 32 - 1 downto i * 32) <= blockOut( i).word;
167
                           Mask( ( i + 1) * 4 - 1 downto i * 4) <= not blockOut( i).Modified;
168
                                s := s or blockOut( i).Modified(0) or blockOut( i).Modified(1) or
169
                                          blockOut( i).Modified(2) or blockOut( i).Modified(3);
170
                         end loop;
171
 
172
                         writesh <= s;
173
 
174
                         if s = '1' then
175
                      stateIO <= busy;
176
                         end if;
177
                  end if;
178
                when busy =>
179
                  if ack = '1' then
180
                    stateIO <= start;
181
 
182
                    if readsh = '1' then
183
                           for i in blockIn'range loop
184
                        blockIn( i).word <= DataBlockIn( ( i + 1) * 32 - 1 downto i * 32);
185
                                  blockIn( i).Modified <= ( others => '0');
186
                                end loop;
187
                    end if;
188
 
189
                    readsh <= '0';
190
                    writesh <= '0';
191
                  end if;
192
                end case;
193
         end if;
194
  end process blockIO;
195
 
196
  writes <= writesh;
197
  reads <= readsh;
198
 
199
  tagrams: process ( nReset, Clock) is
200
  variable a, b, d: myint;
201 11 gerhardhoh
  variable DataInTag, DataOutTag: TagBuffer;
202 10 gerhardhoh
  begin
203
  if rising_edge(Clock) then
204
    if nReset /= '1' then
205
           statetag <= inittag;
206
                writet <= '0';
207
                enableram <= '0';
208 13 gerhardhoh
           oldint <= '0';
209 10 gerhardhoh
                found <= 15;
210
                free <= 15;
211
                done <= '0'; -- NEW
212
                initcount <= ( others => '0');
213
                AddressInt <= ( others => '0');
214
                IOCodeh <= ( others => '0');
215
                AddressInh <= ( others => '0');
216 15 gerhardhoh
           gal <= ( others => '1');
217 10 gerhardhoh
         else
218 15 gerhardhoh
            gal <= gal( 6 downto 4) & ( gal( 3) xor gal( 7)) & ( gal( 2) xor gal( 7)) & ( gal( 1) xor gal( 7)) & gal( 0) & gal( 7);
219 13 gerhardhoh
         oldint <= interrupt;
220 10 gerhardhoh
           case statetag is
221
                  when inittag =>
222
                    for i in tagRAMIn'range loop
223
                           tagRAMIn(i).tagValid <= '0';
224
                           tagRAMIn(i).tag <= ( others => '0');
225
                           tagRAMIn(i).cacheValid <= '0';
226
                           tagRAMIn(i).cacheAddr <= ( others => '0');
227
                         end loop;
228
                         AddressInt <= std_ulogic_vector(initcount);
229
                         initcount <= initcount + 1;
230
                         if unsigned( not AddressInt) = 0 then
231
                      statetag <= startt;
232
                           writet <= '0';
233
                         else
234
                           writet <= '1';
235
                         end if;
236
                  when startt =>
237
                    if IOCode( 1 downto 0) /= "00" and AddressIn( AddressIn'high) = '0' then
238
                      -- request encountered
239
                                AddressInh <= AddressIn(AddressInh'range);
240 11 gerhardhoh
                                IOCodeh <= IOCode;
241 10 gerhardhoh
                      AddressInt <= AddressIn( AddressInt'range);
242
                                DataInh <= DataIn;
243
                      statetag <= startt1;
244
                    end if;
245
                  when startt1 =>
246
                    statetag <= tagtest;
247
                  when tagtest =>
248 11 gerhardhoh
          a := 15;
249 10 gerhardhoh
                    b := 15;
250 11 gerhardhoh
 
251
               for i in 0 to TagRAMarray'high loop
252
                      if tagRAMOut( i).tagValid = '1' then
253
                   if AddressInh(tagRAMout( i).tag'range) = tagRAMout( i).tag then
254 10 gerhardhoh
                          a := i; -- present
255 11 gerhardhoh
                                  end if;
256
                      else
257
                             b := i; -- free entry
258
                      end if;
259
               end loop;
260 10 gerhardhoh
 
261 11 gerhardhoh
                    found <= a;
262
                    free <= b;
263 15 gerhardhoh
 
264 20 gerhardhoh
            if ways  = 1 then
265
              elim <= 0;
266
            else
267
              elim <= to_integer( gal( ldways - 1 downto 0));
268
            end if;
269 16 gerhardhoh
 
270 10 gerhardhoh
                    if stateram = ramstart then
271
                      enableram <= '1';
272
                      statetag <= tagwait;
273
                         end if;
274
                  when tagwait =>
275
                    writet <= '0';
276
 
277 13 gerhardhoh
                    if interrupt = '1' and oldint = '0' then
278 10 gerhardhoh
                      enableram <= '0';
279 20 gerhardhoh
                          AddressInt <= toFlush;
280
                          statetag <= stateget;
281
                        elsif queuedone = '1' then
282 10 gerhardhoh
                      enableram <= '0';
283 20 gerhardhoh
                          statetag <= finish;
284
                        end if;
285 10 gerhardhoh
                  when stateget =>
286
                         statetag <= stateget1;
287
                  when stateget1 =>
288 20 gerhardhoh
                     enableram <= '1';
289 10 gerhardhoh
                         tagDummy <= tagRAMOut;
290
 
291
                         for i in tagRAMIn'range loop
292
                           if del = i then
293 20 gerhardhoh
                         tagRAMIn( i).tagvalid <= '0';
294 10 gerhardhoh
                             tagRAMIn( i).cacheValid <= '0';
295
                             tagRAMIn( i).tag <= ( others => '0');
296
                             tagRAMIn( i).cacheAddr <= ( others => '0');
297 20 gerhardhoh
                                 writet <= '1';
298 10 gerhardhoh
                           else
299
                             tagRAMIn( i) <= tagRAMOut( i);
300
                           end if;
301
                         end loop;
302
 
303
                         statetag <= tagwait;
304
                  when finish =>
305
                    if doneh = '1' then
306
                           tagRAMIn <= tagBuff;
307 20 gerhardhoh
                           writet <= '1';
308
                       AddressInt <= AddressInh( AddressInt'range);
309
                           done <= '1';
310
                       statetag <= finished;
311 10 gerhardhoh
                    end if;
312
                  when finished => -- NEW
313
                    writet <= '0';
314
                    done <= '0';
315
                    statetag <= startt;
316
                end case;
317
 
318
         for i in tagRAM'range loop
319
      DataInTag( i) := TagRAMIn( i).TagValid & TagRAMIn( i).Tag & TagRAMIn( i).cacheValid & TagRAMIn( i).cacheAddr;
320
 
321
           if writet = '1' then
322
                  tagRAM(i)(to_integer( AddressInt)) <= DataInTag( i);
323
                else
324
                  DataOutTag( i) := tagRAM(i)(to_integer( AddressInt));
325
 
326
             TagRAMOut( i).cacheAddr <= DataOutTag( i)( ldram - 1 downto 0);
327
             TagRAMOut( i).cacheValid <= DataOutTag( i)( ldram);
328
             TagRAMOut( i).Tag <= DataOutTag( i)( DataOutTag( 0)'high - 1 downto ldram + 1);
329
             TagRAMOut( i).TagValid <= DataOutTag( i)( DataOutTag( 0)'high);
330
                end if;
331
         end loop;
332
         end if;
333
  end if;
334
  end Process tagrams;
335
 
336
  dataram: process (nReset, Clock, enableram) is
337
  variable en, acc, hi: std_ulogic;
338
  variable f, g: std_ulogic_vector( CacheIn.FiFoAddr'length downto 0);
339
  variable a, b: RAMBuffer;
340
  variable index, index1: integer;
341
 
342 11 gerhardhoh
  variable address: std_ulogic_vector( ldram - 1 downto 0);
343
  variable uaddress: unsigned( ldram - 1 downto 0);
344 10 gerhardhoh
  variable datum:  std_ulogic_vector( FreeIn'range);
345 11 gerhardhoh
  variable w: std_ulogic;
346 10 gerhardhoh
  begin
347
  if rising_edge(Clock) then
348
    if nReset /= '1' then
349
           enablequeue <= '0';
350
           stateram <= raminit;
351
                writec <= '0';
352
                writeb <= '0';
353
                readb <= '0';
354
                getf <= '0';
355
                putf <= '0'; -- NEW inserted
356
                doneh <= '0';
357
                accinterrupt <= '0';
358
                accqueue <= '0';
359 20 gerhardhoh
                isfull <= '0';
360
                flag <= '0';
361 10 gerhardhoh
                initcount1 <= ( others => '0');
362
                FreeIn <= ( others => '0');
363 11 gerhardhoh
                firstf <= ( others => '0');
364
                lastf <= ( others => '0');
365
                counterf <= ( others => '0');
366 10 gerhardhoh
         else
367 13 gerhardhoh
           hi := accinterrupt or (interrupt and not oldint);
368 10 gerhardhoh
                acc := accqueue or queuedone;
369 13 gerhardhoh
                en := enablequeue and not acc;
370 10 gerhardhoh
 
371
                if ldCachedWords = 0 then
372
                  index := 0;
373
                else
374
                  index := to_integer( AddressInh( ldCachedWords + 1 downto 2));
375
                end if;
376
 
377
           case stateram is
378
                  when raminit =>
379
                         FreeIn <= std_ulogic_vector( initcount1);
380 20 gerhardhoh
             initcount1 <= initcount1 + 1;
381
 
382 10 gerhardhoh
                         if unsigned( not FreeIn) = 0 then
383
                           stateram <= ramstart;
384
                           putf <= '0';
385
                         else
386
                           putf <= '1';
387
                         end if;
388
                  when ramstart =>
389 20 gerhardhoh
                     if enableram = '1' then -- UPDATE
390
                           if found /= 15 then
391 22 gerhardhoh
                              tagBuff <= tagRAMOut;
392 18 gerhardhoh
                                  cindex <= tagRAMOut( found).cacheAddr;
393 20 gerhardhoh
                                  isfull <= '0';
394 10 gerhardhoh
                                  stateram <= ramupdate;
395 20 gerhardhoh
                           elsif free /= 15 then
396 10 gerhardhoh
                                  en := '1';
397 20 gerhardhoh
                                  if emptyf = '1' and isfull = '0' then
398
                                    isfull <= '1';
399 22 gerhardhoh
                                tagBuff <= tagRAMOut;
400 20 gerhardhoh
                                    stateram <= ramwait;
401
                                  else
402
                                    cindex <= FreeOut;
403 24 gerhardhoh
                                tagBuff <= tagRAMOut;
404
                                    stateram <= ramupdate1;
405 20 gerhardhoh
                                  end if;
406 10 gerhardhoh
                                else
407 23 gerhardhoh
                              tagBuff <= tagRAMOut;
408 18 gerhardhoh
                                  cindex <= tagRAMOut( elim).cacheAddr;
409 20 gerhardhoh
                                  isfull <= '0';
410 15 gerhardhoh
                                  stateram <= ramupdate;
411 10 gerhardhoh
                                end if;
412
                         end if;
413
                  when ramupdate =>
414
                    stateram <= ramupdate1;
415
                  when ramupdate1 =>
416
                         en := '1';
417 20 gerhardhoh
                         if found /= 15 then
418 25 gerhardhoh
                       cacheIn <= cacheOut;
419
                           blockOut <= cacheOut.Words;
420
                           RecBuff <= cacheOut;
421 20 gerhardhoh
                           stateram <= ramupdate2;
422 24 gerhardhoh
                         elsif free /= 15 then
423
                           tagBuff( free).cacheAddr <= FreeOut;
424
                           tagBuff( free).cacheValid <= '1';
425
                           tagBuff( free).tag <= AddressInh( tagBuff( free).tag'range);
426
                           tagBuff( free).tagValid <= '1';
427
                           getf <= '1';
428
                           if IOCodeh = "111" and ldCachedWords = 0 then
429
                             stateram <= ramupdate2;
430
                           else
431
                             readb <= '1';
432
                             AddressOut <= AddressInh( AddressOut'range);
433
                             stateram <= ramread;
434
                           end if;
435 20 gerhardhoh
                         else
436 25 gerhardhoh
                       cacheIn <= cacheOut;
437
                           blockOut <= cacheOut.Words;
438
                           RecBuff <= cacheOut;
439 20 gerhardhoh
                           AddressOut <= tagBuff( elim).tag & AddressInh( AddressInt'range) & ( ldCachedWords + 1 downto 0 => '0');
440
                       writeb <= '1';
441
                           flag <= '1';
442
                           stateram <= ramflush;
443
                         end if;
444 10 gerhardhoh
                  when ramwait =>
445
                    if hi = '1' then
446 20 gerhardhoh
                          stateram <= ramwait1;
447
                        end if;
448 10 gerhardhoh
                  when ramwait1 =>
449 20 gerhardhoh
                         writec <= '0';
450
 
451 10 gerhardhoh
                         if del /= 15 and enableram = '1' then
452 11 gerhardhoh
                           if toflush = AddressInh( toflush'range) then -- inserted, tagline could match flushing tagline !!!!
453 20 gerhardhoh
                         tagBuff( del).tagvalid <= '0';
454 10 gerhardhoh
                             tagBuff( del).cacheValid <= '0';
455
                             tagBuff( del).tag <= ( others => '0');
456
                             tagBuff( del).cacheAddr <= ( others => '0');
457 20 gerhardhoh
                           end if;
458 10 gerhardhoh
                           cindex <= tagdummy( del).cacheAddr;
459 20 gerhardhoh
                           FreeIn <= tagdummy( del).cacheAddr;
460
                           putf <= tagdummy( del).cacheValid;
461 10 gerhardhoh
                           stateram <= ramclean;
462
                         end if;
463
                  when ramread =>
464
                    readb <= '0';
465 20 gerhardhoh
                        getf <= '0';
466 10 gerhardhoh
                    stateram <= ramread1;
467
                  when ramread1 =>
468
                    if readsh = '0' then
469
                           for i in blockIn'range loop
470
                                  cacheIn.Words( i) <= blockIn( i);
471
                                end loop;
472
                      stateram <= ramupdate2;
473
                         end if;
474
                  when ramupdate2 =>
475
                    if IOCodeh(2) = '1' then
476
                           if IOCodeh(1) = '1' then
477
                                  If IOCodeh(0) = '1' then
478
                                    cacheIn.Words( index).Word <= DataInh;
479 20 gerhardhoh
                                        cacheIn.Words( index).Modified <= "1111";
480 10 gerhardhoh
                                  elsif AddressInh(1) = '1' then
481
                                    cacheIn.Words( index).Word( 31 downto 16) <= DataInh( 15 downto 0);
482 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 3 downto 2) <= "11";
483 10 gerhardhoh
                                  else
484
                                    cacheIn.Words( index).Word( 15 downto 0) <= DataInh( 15 downto 0);
485 20 gerhardhoh
                                        cacheIn.Words( index).Modified( 1 downto 0) <= "11";
486 10 gerhardhoh
                                  end if;
487
                                else
488
                                  if AddressInh(1) = '0' then
489
                                    if AddressInh(0) = '0' then
490
                                           cacheIn.Words( index).Word( 7 downto 0) <= DataInh( 7 downto 0);
491 20 gerhardhoh
                                           cacheIn.Words( index).Modified(0) <= '1';
492 10 gerhardhoh
                                    else
493
                                           cacheIn.Words( index).Word( 15 downto 8) <= DataInh( 7 downto 0);
494 20 gerhardhoh
                                           cacheIn.Words( index).Modified(1) <= '1';
495 10 gerhardhoh
                                         end if;
496
                                  else
497
                                    if AddressInh(0) = '0' then
498
                                           cacheIn.Words( index).Word( 23 downto 16) <= DataInh( 7 downto 0);
499 20 gerhardhoh
                                           cacheIn.Words( index).Modified(2) <= '1';
500 10 gerhardhoh
                                    else
501
                                           cacheIn.Words( index).Word( 31 downto 24) <= DataInh( 7 downto 0);
502 20 gerhardhoh
                                           cacheIn.Words( index).Modified(3) <= '1';
503 10 gerhardhoh
                                         end if;
504
                                  end if;
505
                                end if;
506
                         else
507
                           DataOut <= cacheIn.Words( index).Word;
508
                         end if;
509
 
510
                         cacheIn.FiFoAddr <= newFiFoAddr;
511
                         cacheIn.Am <= newAm;
512
 
513
                         getf <= '0';
514
                         writec <= '1';
515 20 gerhardhoh
 
516
                         if hi = '1' then
517
                           stateram <= ramwait1;
518
                         elsif acc = '1' then
519
                           doneh <= '1';
520
                           stateram <= ramupdate3;
521
                         end if;
522 10 gerhardhoh
                  when ramupdate3 =>
523
                    hi := '0';
524 20 gerhardhoh
                        acc := '0';
525
                        en := '0';
526
                        writec <= '0';
527 10 gerhardhoh
                    doneh <= '0';
528 20 gerhardhoh
                        stateram <= ramstart;
529 10 gerhardhoh
                  when ramclean =>
530
                    putf <= '0';
531
                    stateram <= ramclean1;
532
                  when ramclean1 =>
533
                         if del /= 15 then
534
                           blockOut <= cacheOut.words;
535 20 gerhardhoh
                           writeb <= tagdummy( del).tagValid;
536
                           AddressOut <= tagdummy( del).tag & toFlush & ( ldCachedWords + 1 downto 0 => '0');
537 10 gerhardhoh
                           stateram <= ramflush;
538
                         end if;
539
                  when ramflush =>
540
                    writeb <= '0';
541
                         for i in blockIn'range loop
542
                      cacheIn.Words( i).Word <= ( others => '0');
543 20 gerhardhoh
                          cacheIn.Words( i).Modified <= ( others => '0');
544 10 gerhardhoh
                         end loop;
545
 
546
                         stateram <= ramflush1;
547
                  when ramflush1 =>
548
                         if writesh = '0' then
549 20 gerhardhoh
                           if flag = '1' then
550
                                 tagBuff( elim).tag <= AddressInh( tagBuff( elim).tag'range);
551
                                 tagBuff( elim).tagValid <= '1';
552
                                 flag <= '0';
553
                                 if IOCodeh = "111" and ldCachedWords = 0 then
554
                                   stateram <= ramupdate2;
555
                                 else
556
                                   readb <= '1';
557
                                   AddressOut <= AddressInh( AddressOut'range);
558
                                   stateram <= ramread;
559
                                 end if;
560
                           elsif isfull = '1' then
561
                             hi := '0';
562
                                 stateram <= ramstart;
563
                           elsif acc = '1' then
564
                                 doneh <= '1';
565
                             stateram <= ramupdate3;
566
                           end if;
567 10 gerhardhoh
                         end if;
568
                end case;
569
 
570
                accinterrupt <= hi;
571
                enablequeue <= en;
572
                accqueue <= acc;
573
 
574
         f := CacheIn.Am & CacheIn.FiFoAddr;
575
         if writec = '1' then
576
           Ax( to_integer( cindex)) <= f;
577
         else
578
           g := Ax( to_integer( cindex));
579
                CacheOut.FiFoAddr <= g( g'high - 1 downto g'low);
580
                CacheOut.Am <= g( g'high);
581
         end if;
582
 
583
         for i in RAMBuffer'range loop
584
           a( i) := CacheIn.Words( i).Modified & CacheIn.Words( i).Word;
585
                if writec = '1' then
586
                  RAMs( i)( to_integer( cindex)) <= a( i);
587
                else
588
                  b( i) := RAMs( i)( to_integer( cindex));
589
                  CacheOut.Words( i).Word <= b( i)( 31 downto 0);
590
                  CacheOut.Words( i).Modified <= b( i)( 35 downto 32);
591
                end if;
592
         end loop;
593
 
594 11 gerhardhoh
         if putf = '1' then
595
           address := std_ulogic_vector( firstf);
596
                datum := FreeIn;
597
                firstf <= firstf + 1;
598
                counterf <= counterf + 1;
599 10 gerhardhoh
                w := '1';
600
         else
601 11 gerhardhoh
           uaddress := lastf;
602
           if getf = '1' and counterf /= 0 then
603 10 gerhardhoh
             counterf <= counterf - 1;
604 11 gerhardhoh
                  uaddress := uaddress + 1;
605 10 gerhardhoh
           end if;
606 11 gerhardhoh
                lastf <= uaddress;
607 10 gerhardhoh
                address := std_ulogic_vector( uaddress);
608 11 gerhardhoh
                w := '0';
609
         end if;
610 10 gerhardhoh
 
611
         if w = '1' then
612 11 gerhardhoh
           ramf( to_integer( address)) <= datum;
613 10 gerhardhoh
         else
614 11 gerhardhoh
           FreeOut <= ramf( to_integer( address));
615
         end if;
616 10 gerhardhoh
 
617
         end if;
618
  end if;
619
  end process dataram;
620
 
621
  emptyf <= '1' when counterf = 0 else '0';
622
 
623
  queues: process( nReset, Clock, enablequeue) is
624
  variable acc, hi: std_ulogic;
625
  variable A1OutBuff, AmOutBuff: std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
626 11 gerhardhoh
  variable addressA1: std_ulogic_vector( ldqueuelength - 1 downto 0);
627
  variable diff, uaddressA1: unsigned( ldqueuelength - 1 downto 0);
628 10 gerhardhoh
  variable datumA1:  std_ulogic_vector( A1OutBuff'range);
629 11 gerhardhoh
  variable wA1: std_ulogic;
630
  variable addressAm: std_ulogic_vector( ldqueuelength - 1 downto 0);
631
  variable uaddressAm: unsigned( ldqueuelength - 1 downto 0);
632 10 gerhardhoh
  variable datumAm:  std_ulogic_vector( AmOutBuff'range);
633 11 gerhardhoh
  variable wAm: std_ulogic;
634 10 gerhardhoh
  begin
635
  if rising_edge(Clock) then
636
    if nReset /= '1' then
637
                del <= 15;
638
           statequeue <= queuestart;
639
           queuedone <= '0';
640
                interrupt <= '0';
641
                accdone <= '0';
642
                preempted <= '0';
643 11 gerhardhoh
                firstA1 <= ( others => '0');
644
                A1Outaddr <= ( others => '0');
645
                lastA1 <= ( others => '0');
646 10 gerhardhoh
                counterA1 <= ( others => '0');
647 11 gerhardhoh
                firstAm <= ( others => '0');
648
                AmOutaddr <= ( others => '0');
649
                lastAm <= ( others => '0');
650 10 gerhardhoh
                counterAm <= ( others => '0');
651
                getA1 <= '0'; -- NEW
652
                getAm <= '0'; -- NEW
653
                removeA1 <= '0'; -- NEW
654
                removeAm <= '0'; -- NEW
655
                putA1 <= '0'; -- NEW
656 11 gerhardhoh
                putAm <= '0'; -- NEW
657 20 gerhardhoh
        serviced <= '0';
658 10 gerhardhoh
         else
659 13 gerhardhoh
           hi := interrupt;
660 20 gerhardhoh
           acc := accdone or doneh;
661 10 gerhardhoh
 
662 20 gerhardhoh
           diff := firstA1 - unsigned( RecBuff.FiFoAddr);
663 10 gerhardhoh
 
664
           case statequeue is
665
                  when queuestart =>
666
                         getA1 <= '0';
667
 
668
                    if enablequeue = '1' then
669
                           if found /= 15 then
670
                                  if RecBuff.Am = '1' or                                -- in Am
671
                                    ( RecBuff.Am = '0' and diff( diff'high) = '0') then -- in lower half of A1
672 20 gerhardhoh
                                     queuedone <= '1';
673 10 gerhardhoh
                                         newFiFoAddr <= RecBuff.FiFoAddr;
674
                                         newAm <= RecBuff.Am;
675 20 gerhardhoh
                                 statequeue <= queuewait;
676 10 gerhardhoh
                                  elsif fullAm = '1' then
677
                                    -- Am full
678
                                         if AmOut.valid = '1' then
679
                                           del <= to_integer( AmOut.way);
680 20 gerhardhoh
                                           toFlush <= AmOut.word;
681
                                           getAm <= '1';
682 10 gerhardhoh
                                           hi := '1';
683
                                           statequeue <= queuewait;
684
                                         end if;
685
                                  else
686
                                    AmIn.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
687 20 gerhardhoh
                                        AmIn.way <= std_ulogic_vector(to_unsigned( found, ldways + 1));
688
                                        AmIn.valid <= '1';
689
                                        putAm <= '1';
690
                                        A1Inaddr <= RecBuff.FiFoAddr;
691
                                        removeA1 <= '1';
692
                                        statequeue <= queuewaitAm1;
693 10 gerhardhoh
                                  end if;
694
                                elsif free /= 15 then
695 20 gerhardhoh
                                  if fullA1 = '1' or (isfull = '1' and emptyA1 = '0' and serviced = '0') then
696 10 gerhardhoh
                                    -- remove last entry from A1
697
                                         if A1Out.valid = '1' then
698
                                           del <= to_integer( A1Out.way);
699
                                           toFlush <= A1Out.word;
700
                                           getA1 <= '1';
701
                                           hi := '1';
702 20 gerhardhoh
                       serviced <= '1';
703 10 gerhardhoh
                                           statequeue <= queuewait;
704
                                         end if;
705 20 gerhardhoh
                                  elsif emptyAm = '0' and isfull = '1' and serviced = '0' then
706 10 gerhardhoh
                                    -- remove last entry from Am
707
                                         if AmOut.valid = '1' then
708
                                           del <= to_integer( AmOut.way);
709
                                           toFlush <= AmOut.word;
710
                                           getAm <= '1';
711
                                           hi := '1';
712 20 gerhardhoh
                       serviced <= '1';
713 10 gerhardhoh
                                           statequeue <= queuewait;
714
                                         end if;
715
                                  else
716
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
717
                                         A1In.way <= std_ulogic_vector(to_unsigned( free, ldways + 1));
718
                                         A1In.valid <= '1';
719
                                         putA1 <= '1';
720 20 gerhardhoh
                     serviced <= '0';
721 10 gerhardhoh
                                         statequeue <= queuewaitA11;
722
                                  end if;
723
                                elsif elim /= 15 then
724
                                  if fullA1 = '1' then
725
                                    if A1Out.valid = '1' then
726
                                           if not ( to_integer( A1Out.way) = elim and
727
                                                        A1Out.word = AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords)) then
728
                                             del <= to_integer( A1Out.way);
729
                                             toFlush <= A1Out.word;
730
                                             statequeue <= queueelim;
731
                                           end if;
732
 
733
                                           getA1 <= '1';
734
                                         end if;
735
                                  else
736 20 gerhardhoh
                    if getA1 = '1' then
737
                      preempted <= '1';
738
                    end if;
739
                                        getA1 <= '0'; -- NEW, inserted the only bug!!!!!!!!!!!!!!
740 10 gerhardhoh
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
741 20 gerhardhoh
                                        A1In.way <= std_ulogic_vector(to_unsigned( elim, ldways + 1));
742
                                        A1In.valid <= '1';
743
                                        putA1 <= '1';
744
                                        statequeue <= queueelim;
745 10 gerhardhoh
                                  end if;
746
                                end if;
747
                         end if;
748
                  when queuewait =>
749 20 gerhardhoh
                        removeA1 <= '0';
750
                        removeAm <= '0';
751 10 gerhardhoh
                    getAm <= '0';
752
                    getA1 <= '0';
753 20 gerhardhoh
                        queuedone <= '0';
754 10 gerhardhoh
 
755 20 gerhardhoh
            if hi = '1' then
756
              hi := '0';
757
                          statequeue <= queuestart;
758
                elsif acc = '1' then
759
                          acc := '0';
760
                          del <= 15;
761
                          statequeue <= queuestart;
762
                        end if;
763 10 gerhardhoh
                  when queuewaitAm1 =>
764
                    putAm <= '0';
765 20 gerhardhoh
                        removeA1 <= '0';
766
                        statequeue <= queuewaitAm2;
767 10 gerhardhoh
                  when queuewaitAm2 =>
768 20 gerhardhoh
                        newFiFoAddr <= AmOutAddr;
769
                        newAm <= '1';
770
                        queuedone <= '1';
771
                        statequeue <= queuewait;
772 10 gerhardhoh
                  when queuewaitA11 =>
773
                    putA1 <= '0';
774 20 gerhardhoh
                        statequeue <= queuewaitA12;
775 10 gerhardhoh
                  when queuewaitA12 =>
776 20 gerhardhoh
                        newFiFoAddr <= A1OutAddr;
777
                        newAm <= '0';
778
                        removeA1 <= '0';
779
                        removeAm <= '0';
780
                        queuedone <= '1';
781 10 gerhardhoh
                    preempted <= '0';
782 20 gerhardhoh
                        statequeue <= queuewait;
783 10 gerhardhoh
                  when queueelim =>
784
                    putA1 <= '0';
785 20 gerhardhoh
                        getA1 <= '0';
786 10 gerhardhoh
 
787 20 gerhardhoh
                        if RecBuff.Am = '1' and preempted = '0' then
788
                          AmInAddr <= RecBuff.FiFoAddr;
789
                          removeAm <= '1';
790
                        elsif preempted = '0' then
791
                          A1InAddr <= RecBuff.FiFoAddr;
792
                          removeA1 <= '1';
793
                        end if;
794 10 gerhardhoh
 
795 20 gerhardhoh
                        if getA1 = '1' then
796
                          hi := '1';
797
                          preempted <= '1';
798
                          statequeue <= queuewait;
799
                        else
800
                          statequeue <= queuewaitA12;
801
                        end if;
802 10 gerhardhoh
                end case;
803
 
804
                interrupt <= hi;
805
                accdone <= acc;
806
 
807 11 gerhardhoh
         if putA1 = '1' or removeA1 = '1' then
808
           if removeA1 = '0' then
809
             addressA1 := std_ulogic_vector( firstA1);
810 10 gerhardhoh
                  datumA1 := A1In.valid & A1In.way & A1In.Word;
811 11 gerhardhoh
                  firstA1 <= firstA1 + 1;
812
                  counterA1 <= counterA1 + 1;
813
                  A1Outaddr <= std_ulogic_vector( firstA1);
814
                else
815
                  addressA1 := A1Inaddr( addressA1'range);
816
                  datumA1 := ( others => '0');
817 10 gerhardhoh
                end if;
818 11 gerhardhoh
                wA1 := '1';
819
         else
820
           uaddressA1 := lastA1;
821
           if (getA1 = '1' or A1Out.valid = '0') and counterA1 /= 0 then
822
             counterA1 <= counterA1 - 1;
823
             uaddressA1 := uaddressA1 + 1;
824 10 gerhardhoh
           end if;
825
           lastA1 <= uaddressA1;
826
           addressA1 := std_ulogic_vector( uaddressA1);
827 11 gerhardhoh
           wA1 := '0';
828 10 gerhardhoh
         end if;
829
 
830
         if wA1 = '1' then
831 11 gerhardhoh
           ramA1( to_integer( addressA1)) <= datumA1;
832 10 gerhardhoh
         else
833 11 gerhardhoh
           A1OutBuff := ramA1( to_integer( addressA1));
834 10 gerhardhoh
 
835
      A1Out.Word <= A1OutBuff( blocksizeld - 1 downto 0);
836
      A1Out.way <= A1OutBuff( blocksizeld + ldways downto blocksizeld);
837
                A1Out.valid <= A1OutBuff( blocksizeld + ldways + 1);
838 11 gerhardhoh
         end if;
839 10 gerhardhoh
 
840 11 gerhardhoh
         if putAm = '1' or removeAm = '1' then
841
           if removeAm = '0' then
842
             addressAm := std_ulogic_vector( firstAm);
843 10 gerhardhoh
                  datumAm := AmIn.valid & AmIn.way & AmIn.Word;
844 11 gerhardhoh
                  firstAm <= firstAm + 1;
845
                  counterAm <= counterAm + 1;
846
                  AmOutaddr <= std_ulogic_vector( firstAm);
847
                else
848
                  addressAm := AmInaddr( addressAm'range);
849
                  datumAm := ( others => '0');
850 10 gerhardhoh
                end if;
851 11 gerhardhoh
                wAm := '1';
852
         else
853
           uaddressAm := lastAm;
854
           if (getAm = '1' or AmOut.valid = '0') and counterAm /= 0 then
855
             counterAm <= counterAm - 1;
856
             uaddressAm := uaddressAm + 1;
857 10 gerhardhoh
           end if;
858
           lastAm <= uaddressAm;
859
           addressAm := std_ulogic_vector( uaddressAm);
860 11 gerhardhoh
           wAm := '0';
861 10 gerhardhoh
         end if;
862 11 gerhardhoh
 
863 10 gerhardhoh
         if wAm = '1' then
864 11 gerhardhoh
           ramAm( to_integer( addressAm)) <= datumAm;
865 10 gerhardhoh
         else
866
           AmOutBuff := ramAm( to_integer( addressAm));
867 11 gerhardhoh
 
868 10 gerhardhoh
      AmOut.Word <= AmOutBuff( blocksizeld - 1 downto 0);
869
      AmOut.way <= AmOutBuff( blocksizeld + ldways downto blocksizeld);
870
                AmOut.valid <= AmOutBuff( blocksizeld + ldways + 1);
871
         end if;
872 11 gerhardhoh
         end if;
873 10 gerhardhoh
  end if;
874
  end process queues;
875
 
876
  fullA1 <= counterA1( counterA1'high);
877 11 gerhardhoh
  emptyA1 <= '1' when counterA1 = 0 else '0';
878 10 gerhardhoh
 
879
  fullAm <= counterAm( counterAm'high);
880 11 gerhardhoh
  emptyAm <= '1' when counterAm = 0 else '0';
881 10 gerhardhoh
 
882 11 gerhardhoh
end Rtl;
883
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.