OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 22

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32) (RISC-V)
2 2 zero_gravi
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7
 
8
## Table of Content
9
 
10
* [Introduction](#Introduction)
11
* [Features](#Features)
12
* [FPGA Implementation Results](#FPGA-Implementation-Results)
13
* [Performance](#Performance)
14
* [Top Entity](#Top-Entity)
15
* [**Getting Started**](#Getting-Started)
16 9 zero_gravi
* [Contribute](#Contribute)
17 2 zero_gravi
* [Legal](#Legal)
18
 
19
 
20
 
21
## Introduction
22
 
23 22 zero_gravi
The NEORV321 Processor is a customizable microcontroller-like system on chip (SoC) that is based
24
on the RISC-V-compliant NEORV32 CPU. The project consists of two main parts:
25 2 zero_gravi
 
26 11 zero_gravi
 
27 22 zero_gravi
**[NEORV32 CPU](#CPU-Features)**
28 2 zero_gravi
 
29 22 zero_gravi
The CPU implements an `rv32i RISC-V` core with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and
30
`PMP` (physical memory protection) extensions. It passes the official [RISC-V compliance tests](https://github.com/stnolting/neorv32_riscv_compliance)
31
and is compliant to the *Unprivileged ISA Specification [Version 2.2](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)*
32
and a subset of the *Privileged Architecture Specification [Version 1.12-draft](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)*.
33 2 zero_gravi
 
34 22 zero_gravi
If you do not want to use the NEORV32 Processor setup, you can also use the CPU in
35
stand-alone mode and build your own SoC around it.
36
 
37
 
38
**[NEORV32 Processor](#Processor-Features)**
39
 
40
Based on the NEORV32 CPU, the NEORV32 Processor is a full-scale RISC-V microcontroller system
41
that already provides common peripherals like GPIO, serial interfaces, timers, embedded
42
memories and an external bus interface for connectivity and custom extension.
43
All optional features and modules beyond the base CPU can be enabled and configured via
44
[VHDL generics](#Top-Entities).
45
 
46
The processor is intended as ready-to-use auxiliary processor within a larger SoC
47
designs or as stand-alone custom microcontroller. Its top entity can be directly
48
synthesized for any target technology without modifications.
49
 
50
This project comes with a complete software ecosystem that features core
51
libraries for high-level usage of the provided functions and peripherals,
52
makefiles, a runtime environment, several example programs to start with - including a free RTOS demo - and
53
even a builtin bootloader for easy program upload via UART.
54
All software source files provide a doxygen-based documentary (available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)).
55
 
56
 
57
**[How to get started?](Getting-Started)**
58
 
59
The processor is intended to work "out of the box". Just synthesize the
60
[test setup](#Create-a-new-Hardware-Project), upload it to your FPGA board of choice and start playing
61
with the NEORV32. If you do not want to [compile the GCC toolchains](https://github.com/riscv/riscv-gnu-toolchain) by yourself, you can also
62 14 zero_gravi
download [pre-compiled toolchains](https://github.com/stnolting/riscv_gcc_prebuilt) for Linux.
63 2 zero_gravi
 
64
For more information take a look a the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
65
 
66 20 zero_gravi
This project is hosted on [GitHub](https://github.com/stnolting/neorv32) and [opencores.org](https://opencores.org/projects/neorv32).
67
A not-so-complete project log can be found on [hackaday.io](https://hackaday.io/project/174167-the-neorv32-risc-v-processor).
68
 
69
 
70 15 zero_gravi
###  Key Features
71 2 zero_gravi
 
72 19 zero_gravi
- RISC-V-compliant `rv32i` CPU with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and PMP (physical memory protection) extensions
73
- GCC-based toolchain ([pre-compiled rv32i and rv32e toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
74 15 zero_gravi
- Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
75
- [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
76
- Detailed [datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
77
- Completely described in behavioral, platform-independent VHDL – no primitives, macros, etc.
78
- Fully synchronous design, no latches, no gated clocks
79
- Small hardware footprint and high operating frequency
80
- Highly configurable CPU and processor setup
81 22 zero_gravi
- [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
82 15 zero_gravi
 
83 22 zero_gravi
 
84 2 zero_gravi
### Design Principles
85
 
86
 * From zero to main(): Completely open source and documented.
87
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
88
 * Easy to use – working out of the box.
89
 * Clean synchronous design, no wacky combinatorial interfaces.
90 18 zero_gravi
 * Be as small as possible – but with a reasonable size-speed tradeoff.
91 2 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
92
 
93
 
94
### Status
95 3 zero_gravi
 
96 22 zero_gravi
The processor is synthesizable (tested with Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
97 6 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the CoreMark benchmark.
98 2 zero_gravi
 
99 8 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
100 2 zero_gravi
 
101 11 zero_gravi
| Project component                                                               | CI status | Note     |
102
|:--------------------------------------------------------------------------------|:----------|:---------|
103 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
104
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
105
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
106 6 zero_gravi
 
107
 
108 12 zero_gravi
### Non RISC-V-Compliant Issues
109 7 zero_gravi
 
110 14 zero_gravi
* No exception is triggered for the `E` CPU extension when using registers above `x15` (*needs fixing*)
111 12 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of implemented CPU extensions during runtime
112 14 zero_gravi
* `mcause` CSR is read-only
113 12 zero_gravi
* The `[m]cycleh` and `[m]instreth` CSR counters are only 20-bit wide (in contrast to original 32-bit)
114 18 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
115 7 zero_gravi
 
116
 
117 22 zero_gravi
### NEORV32-Specific CPU Extensions
118 14 zero_gravi
 
119 22 zero_gravi
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
120 15 zero_gravi
 
121 14 zero_gravi
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
122 22 zero_gravi
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
123 14 zero_gravi
 
124
 
125 9 zero_gravi
### To-Do / Wish List
126 7 zero_gravi
 
127 16 zero_gravi
- Add AXI(-Lite) bridges
128 22 zero_gravi
- Synthesis results (+ wrappers?) for more platforms
129
- Maybe port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
130
- Implement further CPU extensions:
131
  - Atomic operations (`A`)
132
  - Floating-point instructions (`F`)
133
  - ...
134 7 zero_gravi
 
135
 
136 2 zero_gravi
## Features
137
 
138
### Processor Features
139
 
140 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
141
 
142 15 zero_gravi
Highly customizable processor configuration:
143
- Optional processor-internal data and instruction memories (DMEM/IMEM)
144
- Optional internal bootloader with UART console and automatic SPI flash boot option
145
- Optional machine system timer (MTIME), RISC-V-compliant
146
- Optional universal asynchronous receiver and transmitter (UART)
147
- Optional 8/16/24/32-bit serial peripheral interface controller (SPI) with 8 dedicated chip select lines
148
- Optional two wire serial interface controller (TWI), compatible to the I²C standard
149
- Optional general purpose parallel IO port (GPIO), 16xOut & 16xIn, with pin-change interrupt
150
- Optional 32-bit external bus interface, Wishbone b4 compliant (WISHBONE)
151
- Optional watchdog timer (WDT)
152
- Optional PWM controller with 4 channels and 8-bit duty cycle resolution (PWM)
153
- Optional GARO-based true random number generator (TRNG)
154
- Optional dummy device (DEVNULL) (can be used for *fast* simulation console output)
155
- System configuration information memory to check hardware configuration by software (SYSINFO)
156 2 zero_gravi
 
157
### CPU Features
158
 
159 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_cpu.png)
160
 
161
The CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
162 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
163
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
164 2 zero_gravi
 
165 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
166
the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
167
 
168
 
169
**General**:
170 12 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; single processor-bus via bus switch)
171
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
172 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
173
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
174 11 zero_gravi
 
175
 
176 3 zero_gravi
**RV32I base instruction set** (`I` extension):
177 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
178 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
179 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
180 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
181 2 zero_gravi
 
182 3 zero_gravi
**Compressed instructions** (`C` extension):
183 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
184 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
185 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
186
  * Misc instructions: `C.EBREAK` (only with `Zicsr` extension)
187
 
188 3 zero_gravi
**Embedded CPU version** (`E` extension):
189 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
190
 
191 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
192 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
193
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
194 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
195
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
196 2 zero_gravi
 
197 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
198 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
199
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
200 8 zero_gravi
  * System instructions: `MRET` `WFI`
201 12 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[h]` `time[h]`
202 22 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause`(read-only!) `mtval` `mip` `mvendorid` `marchid` `mimpid` `mhartid` `mzext`(custom)
203 2 zero_gravi
  * Supported exceptions and interrupts:
204
    * Misaligned instruction address
205
    * Instruction access fault
206
    * Illegal instruction
207 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
208 2 zero_gravi
    * Load address misaligned
209
    * Load access fault
210 4 zero_gravi
    * Store address misaligned
211 2 zero_gravi
    * Store access fault
212 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
213 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
214
    * Machine software interrupt `msi` (via external signal)
215
    * Machine external interrupt `mei` (via external signal)
216
    * Four fast interrupt requests (custom extension)
217 2 zero_gravi
 
218 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
219 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
220 15 zero_gravi
 
221 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
222 17 zero_gravi
  * System instructions: `FENCE.I`
223 8 zero_gravi
 
224 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
225 15 zero_gravi
  * Additional machine CSRs: `pmpcfgx` `pmpaddrx`
226 2 zero_gravi
 
227 15 zero_gravi
 
228 2 zero_gravi
## FPGA Implementation Results
229
 
230
This chapter shows exemplary implementation results of the NEORV32 processor for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
231
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
232 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
233 19 zero_gravi
of the CPU's generics is assumed (e.g., no PMP). No constraints were used at all.
234 2 zero_gravi
 
235 11 zero_gravi
### CPU
236
 
237 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
238 2 zero_gravi
 
239 12 zero_gravi
| CPU Configuration                | LEs        | FFs      | Memory bits | DSPs | f_max   |
240
|:---------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
241 19 zero_gravi
| `rv32i`                          |       1113 |      479 |       2048  |    0 | 109 MHz |
242
| `rv32i`   + `Zicsr` + `Zifencei` |       1851 |      817 |       2048  |    0 | 100 MHz |
243
| `rv32im`  + `Zicsr` + `Zifencei` |       2462 |     1065 |       2048  |    0 | 100 MHz |
244
| `rv32imc` + `Zicsr` + `Zifencei` |       2714 |     1064 |       2048  |    0 | 100 MHz |
245
| `rv32emc` + `Zicsr` + `Zifencei` |       2717 |     1064 |       1024  |    0 | 100 MHz |
246 2 zero_gravi
 
247 6 zero_gravi
### Processor-Internal Peripherals and Memories
248 2 zero_gravi
 
249 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
250 11 zero_gravi
 
251 14 zero_gravi
| Module    | Description                                     | LEs | FFs | Memory bits | DSPs |
252
|:----------|:------------------------------------------------|:---:|:---:|:-----------:|:----:|
253
| BOOT ROM  | Bootloader ROM (4kB)                            |   4 |   1 |      32 768 |    0 |
254
| BUSSWITCH | Mux for CPU I & D interfaces                    |  62 |   8 |           0 |    0 |
255
| DEVNULL   | Dummy device                                    |   3 |   1 |           0 |    0 |
256
| DMEM      | Processor-internal data memory (8kB)            |  12 |   2 |      65 536 |    0 |
257
| GPIO      | General purpose input/output ports              |  40 |  33 |           0 |    0 |
258
| IMEM      | Processor-internal instruction memory (16kb)    |   7 |   2 |     131 072 |    0 |
259
| MTIME     | Machine system timer                            | 266 | 166 |           0 |    0 |
260
| PWM       | Pulse-width modulation controller               |  72 |  69 |           0 |    0 |
261
| SPI       | Serial peripheral interface                     | 198 | 125 |           0 |    0 |
262
| SYSINFO   | System configuration information memory         |  10 |   9 |           0 |    0 |
263
| TRNG      | True random number generator                    | 105 |  93 |           0 |    0 |
264
| TWI       | Two-wire interface                              |  75 |  44 |           0 |    0 |
265
| UART      | Universal asynchronous receiver/transmitter     | 153 | 108 |           0 |    0 |
266
| WDT       | Watchdog timer                                  |  59 |  45 |           0 |    0 |
267 2 zero_gravi
 
268
 
269 11 zero_gravi
### Exemplary FPGA Setups
270 6 zero_gravi
 
271 11 zero_gravi
Exemplary implementation results for different FPGA platforms. The processor setup uses *all provided peripherals*,
272 15 zero_gravi
no external memory interface, no PMP and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
273 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
274 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
275 6 zero_gravi
 
276 22 zero_gravi
Results generated for hardware version: `1.4.0.0`
277 6 zero_gravi
 
278 22 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | Strategy | CPU Configuration                         | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency      |
279
|:--------|:----------------------------------|:-----------------|:---------------------------|:-------- |:------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|---------------:|
280
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 19.1    | balanced | `rv32imcu` + `Zicsr` + `Zifencei` + `PMP` | 4020 (18%) | 1766  (8%) | 0 (0%) | 231424 (38%) |          - |        - |        100 MHz |
281
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | default  | `rv32icu`  + `Zicsr` + `Zifencei`         | 4249 (80%) | 1617 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) |  *c* 20.25 MHz |
282
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | default  | `rv32imcu` + `Zicsr` + `Zifencei` + `PMP` | 2447 (12%) | 1803  (4%) | 0 (0%) |            - |    8 (16%) |        - |    *c* 100 MHz |
283 2 zero_gravi
 
284 11 zero_gravi
**Notes**
285 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
286 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
287
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
288 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
289
bootloader to store and automatically boot an application program after reset (both tested successfully).
290 22 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 32kB.
291 2 zero_gravi
 
292 22 zero_gravi
 
293
 
294 2 zero_gravi
## Performance
295
 
296
### CoreMark Benchmark
297
 
298
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
299
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
300
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
301
 
302 22 zero_gravi
Results generated for hardware version: `1.3.7.3`
303 2 zero_gravi
 
304
~~~
305
**Configuration**
306 12 zero_gravi
Hardware:    32kB IMEM, 16kB DMEM, 100MHz clock
307
CoreMark:    2000 iterations, MEM_METHOD is MEM_STACK
308 14 zero_gravi
Compiler:    RISCV32-GCC 10.1.0
309 12 zero_gravi
Peripherals: UART for printing the results
310 2 zero_gravi
~~~
311
 
312 22 zero_gravi
| CPU                    | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
313
|:-----------------------|:---------------:|:------------:|:--------------:|:-------------:|
314
| `rv32i`                |    26 748 bytes |        `-O3` |          28.98 |        0.2898 |
315
| `rv32im`               |    25 580 bytes |        `-O3` |          60.60 |        0.6060 |
316
| `rv32imc`              |    19 636 bytes |        `-O3` |          62.50 |        0.6250 |
317
| `rv32imc` + _FAST_MUL_ |    19 636 bytes |        `-O3` |          76.92 |        0.7692 |
318 2 zero_gravi
 
319 20 zero_gravi
The _FAST_MUL_ configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic).
320 2 zero_gravi
 
321 22 zero_gravi
 
322 2 zero_gravi
### Instruction Cycles
323
 
324 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
325 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
326
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
327 2 zero_gravi
CPU extensions.
328
 
329
Please note that the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
330
`M` extension use a bit-serial approach and require several cycles for completion.
331
 
332 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
333 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
334 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
335 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
336 2 zero_gravi
 
337 22 zero_gravi
Results generated for hardware version: `1.3.7.3`
338 2 zero_gravi
 
339 22 zero_gravi
| CPU                    | Required Clock Cycles | Executed Instructions | Average CPI |
340
|:-----------------------|----------------------:|----------------------:|:-----------:|
341
| `rv32i`                |         6 955 817 507 |         1 468 927 290 |        4.73 |
342
| `rv32im`               |         3 376 961 507 |           601 565 750 |        5.61 |
343
| `rv32imc`              |         3 274 832 513 |           601 565 964 |        5.44 |
344
| `rv32imc` + _FAST_MUL_ |         2 689 845 200 |           601 565 890 |        4.47 |
345 2 zero_gravi
 
346 20 zero_gravi
The _FAST_MUL_ configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic).
347 2 zero_gravi
 
348 12 zero_gravi
 
349 22 zero_gravi
 
350 14 zero_gravi
## Top Entities
351 2 zero_gravi
 
352 14 zero_gravi
The top entity of the **processor** is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) (from the `rtl/core` folder).
353 2 zero_gravi
Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
354
(except for the TWI signals, which are of type *std_logic*).
355
 
356 14 zero_gravi
The top entity of the **CPU** is [**neorv32_cpu.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd) (from the `rtl/core` folder).
357 16 zero_gravi
All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively.
358 14 zero_gravi
 
359
Use the generics to configure the processor/CPU according to your needs. Each generic is initilized with the default configuration.
360 2 zero_gravi
Detailed information regarding the signals and configuration generics can be found in the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
361
 
362 14 zero_gravi
Alternative top entities can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder.
363
 
364 22 zero_gravi
 
365 14 zero_gravi
### Processor
366
 
367 2 zero_gravi
```vhdl
368
entity neorv32_top is
369
  generic (
370
    -- General --
371 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
372 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
373 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
374 2 zero_gravi
    -- RISC-V CPU Extensions --
375 14 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
376 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
377 14 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
378 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
379 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
380
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
381 19 zero_gravi
    -- Extension Options --
382
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
383
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
384 15 zero_gravi
    -- Physical Memory Protection (PMP) --
385 19 zero_gravi
    PMP_USE                      : boolean := false; -- implement PMP?
386
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
387
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
388 2 zero_gravi
    -- Memory configuration: Instruction memory --
389 8 zero_gravi
    MEM_ISPACE_BASE              : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
390
    MEM_ISPACE_SIZE              : natural := 16*1024; -- total size of instruction memory space in byte
391 14 zero_gravi
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
392 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
393 14 zero_gravi
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
394 2 zero_gravi
    -- Memory configuration: Data memory --
395 8 zero_gravi
    MEM_DSPACE_BASE              : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
396
    MEM_DSPACE_SIZE              : natural := 8*1024; -- total size of data memory space in byte
397
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
398
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
399 2 zero_gravi
    -- Memory configuration: External memory interface --
400 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
401
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
402 14 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout
403 2 zero_gravi
    -- Processor peripherals --
404 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
405
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
406
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
407
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
408
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
409
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
410
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
411
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
412
    IO_DEVNULL_USE               : boolean := true    -- implement dummy device (DEVNULL)?
413 2 zero_gravi
  );
414
  port (
415
    -- Global control --
416 14 zero_gravi
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
417
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
418 2 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
419 14 zero_gravi
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
420
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
421
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
422
    wb_we_o    : out std_ulogic; -- read/write
423
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
424
    wb_stb_o   : out std_ulogic; -- strobe
425
    wb_cyc_o   : out std_ulogic; -- valid cycle
426
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
427
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
428 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
429 14 zero_gravi
    fence_o    : out std_ulogic; -- indicates an executed FENCE operation
430
    fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
431 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
432 22 zero_gravi
    gpio_o     : out std_ulogic_vector(31 downto 0); -- parallel output
433
    gpio_i     : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
434 2 zero_gravi
    -- UART (available if IO_UART_USE = true) --
435 14 zero_gravi
    uart_txd_o : out std_ulogic; -- UART send data
436
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
437 2 zero_gravi
    -- SPI (available if IO_SPI_USE = true) --
438 14 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
439
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
440
    spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
441
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
442 2 zero_gravi
    -- TWI (available if IO_TWI_USE = true) --
443 14 zero_gravi
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
444
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
445 2 zero_gravi
    -- PWM (available if IO_PWM_USE = true) --
446 14 zero_gravi
    pwm_o      : out std_ulogic_vector(03 downto 0); -- pwm channels
447
    -- Interrupts --
448
    msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
449
    mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
450 2 zero_gravi
  );
451
end neorv32_top;
452
```
453
 
454 22 zero_gravi
 
455 14 zero_gravi
### CPU
456 2 zero_gravi
 
457 14 zero_gravi
```vhdl
458
entity neorv32_cpu is
459
  generic (
460
    -- General --
461
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
462
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
463
    -- RISC-V CPU Extensions --
464
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
465
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
466
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
467 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
468 14 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
469
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
470 19 zero_gravi
    -- Extension Options --
471
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
472
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
473 15 zero_gravi
    -- Physical Memory Protection (PMP) --
474
    PMP_USE                      : boolean := false; -- implement PMP?
475 16 zero_gravi
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
476
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
477 14 zero_gravi
    -- Bus Interface --
478
    BUS_TIMEOUT                  : natural := 15     -- cycles after which a valid bus access will timeout
479
  );
480
  port (
481
    -- global control --
482
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
483
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
484
    -- instruction bus interface --
485
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
486
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
487
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
488
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
489
    i_bus_we_o     : out std_ulogic; -- write enable
490
    i_bus_re_o     : out std_ulogic; -- read enable
491
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
492
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
493
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
494
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
495
    -- data bus interface --
496
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
497
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
498
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
499
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
500
    d_bus_we_o     : out std_ulogic; -- write enable
501
    d_bus_re_o     : out std_ulogic; -- read enable
502
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
503
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
504
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
505
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
506
    -- system time input from MTIME --
507
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
508
    -- interrupts (risc-v compliant) --
509
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
510
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
511
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
512
    -- fast interrupts (custom) --
513
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
514
  );
515
end neorv32_cpu;
516
```
517 2 zero_gravi
 
518 14 zero_gravi
 
519
 
520 2 zero_gravi
## Getting Started
521
 
522
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
523
 
524
[![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
525
 
526
 
527 14 zero_gravi
### Toolchain
528 2 zero_gravi
 
529
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
530
and build the toolchain by yourself, or you can download a prebuilt one and install it.
531
 
532 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
533
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
534 2 zero_gravi
 
535 14 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain.
536
Make sure to use the `ilp32` or `ilp32e` ABI.
537 2 zero_gravi
 
538 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
539
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
540 2 zero_gravi
 
541
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
542
 
543
 
544 22 zero_gravi
### Dowload the NEORV32 Project
545 2 zero_gravi
 
546 12 zero_gravi
Get the sources of the NEORV32 Processor project. You can either download a [release](https://github.com/stnolting/neorv32/releases)
547
or get the most recent version of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip) or using `git clone` (suggested for easy project updates via `git pull`):
548
 
549 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
550
 
551 12 zero_gravi
Create a new project with your FPGA design tool of choice and add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
552
folder to this project. Make sure to add them to a **new library** called `neorv32`.
553 2 zero_gravi
 
554 22 zero_gravi
 
555
### Create a new Hardware Project
556
 
557 11 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in your own project or you
558
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) (from the project's
559
[`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder) as top entity.
560 12 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART, clock, reset and some GPIO output sginals are
561 11 zero_gravi
propagated (basically, its a FPGA "hello world" example):
562 2 zero_gravi
 
563
```vhdl
564 9 zero_gravi
  entity neorv32_test_setup is
565
    port (
566
      -- Global control --
567
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
568
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
569
      -- GPIO --
570
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
571
      -- UART --
572
      uart_txd_o : out std_ulogic; -- UART send data
573
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
574
    );
575
  end neorv32_test_setup;
576 2 zero_gravi
```
577
 
578
 
579
### Compiling and Uploading One of the Example Projects
580
 
581 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
582 2 zero_gravi
`sw/example/blink_led` and run:
583
 
584
    neorv32/sw/example/blink_led$ make check
585
 
586 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
587
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
588
executable `neorv32_exe.bin` in the same folder.
589 2 zero_gravi
 
590
    neorv32/sw/example/blink_led$ make clean_all compile
591
 
592
Connect your FPGA board via UART to you computer and open the according port to interface with the NEORV32 bootloader. The bootloader
593
uses the following default UART configuration:
594
 
595
- 19200 Baud
596
- 8 data bits
597
- 1 stop bit
598
- No parity bits
599
- No transmission / flow control protocol (raw bytes only)
600
- Newline on `\r\n` (carriage return & newline)
601
 
602 9 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` file and run your application image.
603 2 zero_gravi
 
604 9 zero_gravi
```
605
  << NEORV32 Bootloader >>
606
 
607
  BLDV: Jul  6 2020
608
  HWV:  1.0.1.0
609
  CLK:  0x0134FD90 Hz
610 13 zero_gravi
  USER: 0x0001CE40
611 9 zero_gravi
  MISA: 0x42801104
612
  CONF: 0x03FF0035
613
  IMEM: 0x00010000 bytes @ 0x00000000
614
  DMEM: 0x00010000 bytes @ 0x80000000
615
 
616
  Autoboot in 8s. Press key to abort.
617
  Aborted.
618
 
619
  Available CMDs:
620
   h: Help
621
   r: Restart
622
   u: Upload
623
   s: Store to flash
624
   l: Load from flash
625
   e: Execute
626
  CMD:> u
627
  Awaiting neorv32_exe.bin... OK
628
  CMD:> e
629
  Booting...
630
 
631
  Blinking LED demo program
632
```
633 2 zero_gravi
 
634 9 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
635 2 zero_gravi
 
636
 
637
 
638 9 zero_gravi
## Contribute
639 2 zero_gravi
 
640 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
641 22 zero_gravi
to open a [new issue](https://github.com/stnolting/neorv32/issues) or directly drop me a line (mailto:stnolting@gmail.com).
642 2 zero_gravi
 
643 22 zero_gravi
If you'd like to contribute:
644
 
645
1. [Fork](https://github.com/stnolting/neorv32/fork) this repository
646
2. Create a feature branch in your fork: `git checkout -b cool_new_feature`
647
3. Commit your modifications: `git commit -am 'This is awesome because ...'`
648
4. Push to the branch: `git push origin cool_new_feature`
649
5. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
650
 
651 9 zero_gravi
Please also check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md).
652 2 zero_gravi
 
653
 
654 9 zero_gravi
 
655 11 zero_gravi
## Legal
656 2 zero_gravi
 
657 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
658 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
659
 
660
#### Citation
661
 
662 2 zero_gravi
If you are using the NEORV32 Processor in some kind of publication, please cite it as follows:
663
 
664
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
665
 
666 9 zero_gravi
#### BSD 3-Clause License
667 2 zero_gravi
 
668
Copyright (c) 2020, Stephan Nolting. All rights reserved.
669
 
670
Redistribution and use in source and binary forms, with or without modification, are
671
permitted provided that the following conditions are met:
672
 
673
1. Redistributions of source code must retain the above copyright notice, this list of
674
conditions and the following disclaimer.
675
2. Redistributions in binary form must reproduce the above copyright notice, this list of
676
conditions and the following disclaimer in the documentation and/or other materials
677
provided with the distribution.
678
3. Neither the name of the copyright holder nor the names of its contributors may be used to
679
endorse or promote products derived from this software without specific prior written
680
permission.
681
 
682
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
683
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
684
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
685
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
686
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
687
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
688
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
689
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
690
OF THE POSSIBILITY OF SUCH DAMAGE.
691
 
692
 
693 9 zero_gravi
#### Limitation of Liability for External Links
694
 
695
Our website contains links to the websites of third parties („external links“). As the
696
content of these websites is not under our control, we cannot assume any liability for
697
such external content. In all cases, the provider of information of the linked websites
698
is liable for the content and accuracy of the information provided. At the point in time
699
when the links were placed, no infringements of the law were recognisable to us. As soon
700
as an infringement of the law becomes known to us, we will immediately remove the
701
link in question.
702
 
703
 
704 11 zero_gravi
#### Proprietary  Notice
705 9 zero_gravi
 
706 2 zero_gravi
"Windows" is a trademark of Microsoft Corporation.
707
 
708
"Artix" and "Vivado" are trademarks of Xilinx Inc.
709
 
710 11 zero_gravi
"Cyclone", "Quartus Prime", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
711 2 zero_gravi
 
712 11 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx, Inc.
713
 
714 2 zero_gravi
"iCE40", "UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
715
 
716 13 zero_gravi
"AXI" and "AXI-Lite" are trademarks of Arm Holdings plc.
717 2 zero_gravi
 
718
 
719 22 zero_gravi
 
720 18 zero_gravi
## Acknowledgements
721 9 zero_gravi
 
722 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
723
 
724 11 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free :heart:
725
 
726 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
727
 
728
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
729
 
730
 
731
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
732
 
733
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
734
 
735
 
736 14 zero_gravi
 
737 6 zero_gravi
Made with :coffee: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.