OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 37

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 zero_gravi
[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo_white_bg.png)](https://github.com/stnolting/neorv32)
2 2 zero_gravi
 
3 37 zero_gravi
# The NEORV32 RISC-V Processor
4
 
5 2 zero_gravi
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
6
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
7
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
8
 
9 32 zero_gravi
* [Overview](#Overview)
10
* [Project Status](#Status)
11 2 zero_gravi
* [Features](#Features)
12
* [FPGA Implementation Results](#FPGA-Implementation-Results)
13
* [Performance](#Performance)
14 30 zero_gravi
* [Top Entities](#Top-Entities)
15 2 zero_gravi
* [**Getting Started**](#Getting-Started)
16 9 zero_gravi
* [Contribute](#Contribute)
17 2 zero_gravi
* [Legal](#Legal)
18
 
19
 
20
 
21 32 zero_gravi
## Overview
22 2 zero_gravi
 
23 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
24 36 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The processor is intended as *ready-to-go* auxiliary processor within a larger SoC
25 37 zero_gravi
designs or as stand-alone custom microcontroller.
26 2 zero_gravi
 
27 11 zero_gravi
 
28 32 zero_gravi
### Key Features
29 2 zero_gravi
 
30 37 zero_gravi
* RISC-V-[compliant](#Status) 32-bit `rv32i` [**NEORV32 CPU**](#NEORV32-CPU-Features), compliant to
31
  * Subset of the *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
32
  * Subset of the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
33 36 zero_gravi
* Optional CPU extensions
34
  * `C` - compressed instructions (16-bit)
35
  * `E` - embedded CPU (reduced register file)
36
  * `M` - integer multiplication and division hardware
37
  * `U` - less-privileged *user mode*
38
  * `Zicsr` - control and status register access instructions (+ exception/irq system)
39
  * `Zifencei` - instruction stream synchronization
40
  * `PMP` - physical memory protection
41 37 zero_gravi
* Full-scale RISC-V microcontroller system (**SoC**) [**NEORV32 Processor**](#NEORV32-Processor-Features) with optional submodules
42
  * optional embedded memories (instruction/data/bootloader, RAM/ROM)
43
  * timers (watch dog, RISC-V-compliant machine timer)
44
  * serial interfaces (SPI, TWI, UART)
45
  * external bus interface (Wishbone / [AXI4](#AXI4-Connectivity))
46
  * [more ...](#NEORV32-Processor-Features)
47 36 zero_gravi
* Software framework
48 37 zero_gravi
  * core libraries for high-level usage of the provided functions and peripherals
49
  * application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
50 36 zero_gravi
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
51
  * runtime environment
52
  * several example programs
53 37 zero_gravi
  * [doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
54 36 zero_gravi
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
55 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
56 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
57
* Fully synchronous design, no latches, no gated clocks
58
* Small hardware footprint and high operating frequency
59 15 zero_gravi
 
60 36 zero_gravi
The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
61
To see the changes between releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
62
For more information take a look at the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
63 22 zero_gravi
 
64 36 zero_gravi
 
65 2 zero_gravi
### Design Principles
66
 
67 32 zero_gravi
 * From zero to `main()`: Completely open source and documented.
68 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
69
 * Easy to use – working out of the box.
70
 * Clean synchronous design, no wacky combinatorial interfaces.
71 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
72 2 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
73
 
74
 
75 36 zero_gravi
### Status
76 3 zero_gravi
 
77 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
78 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
79 2 zero_gravi
 
80 31 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
81 2 zero_gravi
 
82 11 zero_gravi
| Project component                                                               | CI status | Note     |
83
|:--------------------------------------------------------------------------------|:----------|:---------|
84 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
85
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
86
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
87 6 zero_gravi
 
88
 
89 35 zero_gravi
### To-Do / Wish List / [Help Wanted](#Contribute)
90 7 zero_gravi
 
91 35 zero_gravi
* Use LaTeX for data sheet
92 37 zero_gravi
* More support for FreeRTOS
93 34 zero_gravi
* Further size and performance optimization
94 36 zero_gravi
* Add a cache for the external memory interface
95
* Synthesis results (+ wrappers?) for more/specific platforms
96 32 zero_gravi
* Maybe port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
97
* Implement further CPU extensions:
98 36 zero_gravi
  * Bitmanipulation operations (`B`) - when they are *official*
99 32 zero_gravi
  * Floating-point instructions (`F`)
100
  * ...
101 36 zero_gravi
* ...
102 7 zero_gravi
 
103
 
104 36 zero_gravi
 
105 2 zero_gravi
## Features
106
 
107 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
108 31 zero_gravi
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
109
 
110 36 zero_gravi
### NEORV32 Processor Features
111 2 zero_gravi
 
112 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
113
 
114 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
115 37 zero_gravi
is highly customizable via the processor's top generics and already provides the following *optional* modules:
116 2 zero_gravi
 
117 37 zero_gravi
* processor-internal data and instruction memories (**DMEM** / **IMEM**)
118
* internal **Bootloader** with UART console and automatic application boot from SPI flash option
119
* machine system timer (**MTIME**), RISC-V-compliant
120
* watchdog timer (**WDT**)
121
* universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
122
* 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
123
* two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
124
* general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
125
* 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
126
* wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity))
127
* PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
128
* GARO-based true random number generator (**TRNG**)
129
* custom functions units (**CFU0** and **CFU1**) for tightly-coupled custom co-processors
130
* system configuration information memory to check hardware configuration by software (**SYSINFO**, mandatory - not *optional*)
131 23 zero_gravi
 
132 36 zero_gravi
### NEORV32 CPU Features
133 2 zero_gravi
 
134 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_cpu.png)
135
 
136
The CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
137 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
138
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
139 2 zero_gravi
 
140 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
141 31 zero_gravi
the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
142 11 zero_gravi
 
143
 
144
**General**:
145 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
146 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
147 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
148 23 zero_gravi
  * Little-endian byte order
149
  * All reserved or unimplemented instructions will raise an illegal instruction exception
150 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
151 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
152 11 zero_gravi
 
153
 
154 3 zero_gravi
**RV32I base instruction set** (`I` extension):
155 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
156 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
157 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
158 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
159 2 zero_gravi
 
160 3 zero_gravi
**Compressed instructions** (`C` extension):
161 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
162 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
163 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
164 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
165 2 zero_gravi
 
166 3 zero_gravi
**Embedded CPU version** (`E` extension):
167 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
168
 
169 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
170 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
171
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
172 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
173
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
174 2 zero_gravi
 
175 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
176 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
177
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
178 8 zero_gravi
  * System instructions: `MRET` `WFI`
179 27 zero_gravi
  * Counter CSRs: `cycle` `cycleh` `instret` `instreth` `time` `timeh` `mcycle` `mcycleh` `minstret` `minstreth`
180 30 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
181 2 zero_gravi
  * Supported exceptions and interrupts:
182
    * Misaligned instruction address
183
    * Instruction access fault
184
    * Illegal instruction
185 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
186 2 zero_gravi
    * Load address misaligned
187
    * Load access fault
188 4 zero_gravi
    * Store address misaligned
189 2 zero_gravi
    * Store access fault
190 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
191 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
192
    * Machine software interrupt `msi` (via external signal)
193
    * Machine external interrupt `mei` (via external signal)
194
    * Four fast interrupt requests (custom extension)
195 2 zero_gravi
 
196 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
197 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
198 15 zero_gravi
 
199 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
200 17 zero_gravi
  * System instructions: `FENCE.I`
201 8 zero_gravi
 
202 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
203 23 zero_gravi
  * Additional machine CSRs: `pmpcfg0` `pmpcfg1` `pmpaddr0` `pmpaddr1` `pmpaddr2` `pmpaddr3` `pmpaddr4` `pmpaddr5` `pmpaddr6` `pmpaddr7`
204 2 zero_gravi
 
205 15 zero_gravi
 
206 23 zero_gravi
### Non-RISC-V-Compliant Issues
207
 
208 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
209 23 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
210
 
211
 
212
### NEORV32-Specific CPU Extensions
213
 
214
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
215
 
216
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
217
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
218
 
219
 
220
 
221 2 zero_gravi
## FPGA Implementation Results
222
 
223 23 zero_gravi
### NEORV32 CPU
224
 
225
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
226 37 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 20.1** ("balanced implementation"). The timing
227 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
228 26 zero_gravi
of the CPU's generics is assumed (for example no PMP). No constraints were used at all.
229 2 zero_gravi
 
230 37 zero_gravi
Results generated for hardware version `1.4.7.0`.
231 2 zero_gravi
 
232 31 zero_gravi
| CPU Configuration                      | LEs        | FFs      | Memory bits | DSPs | f_max    |
233
|:---------------------------------------|:----------:|:--------:|:-----------:|:----:|:--------:|
234 37 zero_gravi
| `rv32i`                                |        932 |      413 |       2048  |    0 | ~120 MHz |
235
| `rv32i`   + `u` + `Zicsr` + `Zifencei` |       1800 |      815 |       2048  |    0 | ~118 MHz |
236
| `rv32im`  + `u` + `Zicsr` + `Zifencei` |       2368 |     1058 |       2048  |    0 | ~117 MHz |
237
| `rv32imc` + `u` + `Zicsr` + `Zifencei` |       2604 |     1073 |       2048  |    0 | ~113 MHz |
238
| `rv32emc` + `u` + `Zicsr` + `Zifencei` |       2613 |     1073 |       1024  |    0 | ~113 MHz |
239 2 zero_gravi
 
240
 
241 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
242
 
243 37 zero_gravi
Results generated for hardware version `1.4.7.0`.
244 11 zero_gravi
 
245 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
246 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
247 37 zero_gravi
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |    0 |
248
| BUSSWITCH | Mux for CPU I & D interfaces                         |  63 |   8 |           0 |    0 |
249 34 zero_gravi
| CFU0      | Custom functions unit 0                              |   - |   - |           - |    - |
250
| CFU1      | Custom functions unit 1                              |   - |   - |           - |    - |
251 37 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |  12 |   2 |      65 536 |    0 |
252 31 zero_gravi
| GPIO      | General purpose input/output ports                   |  66 |  65 |           0 |    0 |
253
| IMEM      | Processor-internal instruction memory (default 16kb) |   7 |   2 |     131 072 |    0 |
254 37 zero_gravi
| MTIME     | Machine system timer                                 | 272 | 166 |           0 |    0 |
255 25 zero_gravi
| PWM       | Pulse-width modulation controller                    |  72 |  69 |           0 |    0 |
256 37 zero_gravi
| SPI       | Serial peripheral interface                          | 142 | 124 |           0 |    0 |
257 31 zero_gravi
| SYSINFO   | System configuration information memory              |  11 |   9 |           0 |    0 |
258
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
259 37 zero_gravi
| TWI       | Two-wire interface                                   |  77 |  44 |           0 |    0 |
260
| UART      | Universal asynchronous receiver/transmitter          | 173 | 132 |           0 |    0 |
261 31 zero_gravi
| WDT       | Watchdog timer                                       |  58 |  45 |           0 |    0 |
262 35 zero_gravi
| WISHBONE  | External memory interface                            | 106 | 104 |           0 |    0 |
263 2 zero_gravi
 
264
 
265 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
266 6 zero_gravi
 
267 34 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFUs_ and no _TRNG_),
268 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
269 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
270 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
271 6 zero_gravi
 
272 37 zero_gravi
Results generated for hardware version `1.4.7.0`.
273 6 zero_gravi
 
274 26 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | Strategy | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
275
|:--------|:----------------------------------|:-----------------|:---------------------------|:-------- |:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
276 37 zero_gravi
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 20.1    | balanced | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 3892 (17%) | 1859  (8%) | 0 (0%) | 231424 (38%) |          - |        - |       113 MHz |
277
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | default  | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4331 (82%) | 1673 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) |  *c* 22.5 MHz |
278
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | default  | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2416 (12%) | 1900  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
279 2 zero_gravi
 
280 23 zero_gravi
**_Notes_**
281 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
282 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
283
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
284 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
285
bootloader to store and automatically boot an application program after reset (both tested successfully).
286 22 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 32kB.
287 2 zero_gravi
 
288 22 zero_gravi
 
289
 
290 2 zero_gravi
## Performance
291
 
292
### CoreMark Benchmark
293
 
294
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
295
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
296
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
297
 
298 37 zero_gravi
Results generated for hardware version `1.4.7.0`.
299 2 zero_gravi
 
300
~~~
301
**Configuration**
302 12 zero_gravi
Hardware:    32kB IMEM, 16kB DMEM, 100MHz clock
303
CoreMark:    2000 iterations, MEM_METHOD is MEM_STACK
304 32 zero_gravi
Compiler:    RISCV32-GCC 10.1.0 (rv32i toolchain)
305
Flags:       default, see makefile
306 12 zero_gravi
Peripherals: UART for printing the results
307 2 zero_gravi
~~~
308
 
309 34 zero_gravi
| CPU                                         | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
310
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
311 37 zero_gravi
| `rv32i`                                     |    27 424 bytes |        `-O3` |          35.71 |    **0.3571** |
312
| `rv32im`                                    |    26 232 bytes |        `-O3` |          66.66 |    **0.6666** |
313
| `rv32imc`                                   |    20 876 bytes |        `-O3` |          66.66 |    **0.6666** |
314
| `rv32imc` + `FAST_MUL_EN`                   |    20 876 bytes |        `-O3` |          83.33 |    **0.8333** |
315
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    20 876 bytes |        `-O3` |          86.96 |    **0.8696** |
316 2 zero_gravi
 
317 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
318
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
319 2 zero_gravi
 
320 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
321 22 zero_gravi
 
322 34 zero_gravi
 
323 2 zero_gravi
### Instruction Cycles
324
 
325 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
326 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
327
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
328 2 zero_gravi
CPU extensions.
329
 
330 34 zero_gravi
Please note that by default the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
331 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
332
 
333 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
334 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
335 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
336 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
337 2 zero_gravi
 
338 37 zero_gravi
Results generated for hardware version `1.4.7.0`.
339 2 zero_gravi
 
340 34 zero_gravi
| CPU                                         | Required Clock Cycles | Executed Instructions | Average CPI |
341
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
342 37 zero_gravi
| `rv32i`                                     |         5 648 997 774 |         1 469 233 238 |    **3.84** |
343
| `rv32im`                                    |         3 036 749 774 |           601 871 338 |    **5.05** |
344
| `rv32imc`                                   |         3 036 959 882 |           615 034 616 |    **4.94** |
345
| `rv32imc` + `FAST_MUL_EN`                   |         2 454 407 882 |           615 034 588 |    **3.99** |
346
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 320 308 322 |           615 034 676 |    **3.77** |
347 2 zero_gravi
 
348
 
349 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
350
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
351
 
352 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
353 12 zero_gravi
 
354 22 zero_gravi
 
355 31 zero_gravi
 
356 14 zero_gravi
## Top Entities
357 2 zero_gravi
 
358 36 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd).
359 2 zero_gravi
 
360 36 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
361 34 zero_gravi
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected (`open`) and tie all unused
362
input ports to zero (`'0'` or `(others => '0')`, respectively).
363 14 zero_gravi
 
364 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
365 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
366 36 zero_gravi
the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
367 22 zero_gravi
 
368 23 zero_gravi
 
369 36 zero_gravi
### Using the CPU in Stand-Alone Mode
370 23 zero_gravi
 
371 36 zero_gravi
If you do not want to use the NEORV32 processor setup, you can also use the CPU in stand-alone mode and build your own system around it.
372
The top entity of the stand-alone **NEORV32 CPU** is [`rtl/core/neorv32_cpu.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd).
373
Note that the CPU uses a proprietary interface for accessing data and instruction memory. More information can be found in the
374
[NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
375 14 zero_gravi
 
376 36 zero_gravi
:warning: It is recommended to use the processor setup even if you only want to use the CPU. Simply disable all the processor-internal modules via the generics
377
and you will get a "CPU wrapper" that provides a minimal CPU environment and an external memory interface (like AXI4). This setup also allows to further use the default
378
bootloader and application makefiles. From this base you can start building your own processor system.
379 2 zero_gravi
 
380 36 zero_gravi
 
381
### Alternative Top Entities
382
 
383
*Alternative top entities*, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
384
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
385
 
386
 
387 35 zero_gravi
### AXI4 Connectivity
388 22 zero_gravi
 
389 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
390
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
391
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
392 2 zero_gravi
 
393 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
394
 
395
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
396
 
397
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
398
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
399
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
400
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
401
 
402
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
403
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
404
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
405
 
406
 
407
 
408 2 zero_gravi
## Getting Started
409
 
410
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
411
 
412 31 zero_gravi
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
413 2 zero_gravi
 
414
 
415 14 zero_gravi
### Toolchain
416 2 zero_gravi
 
417
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
418
and build the toolchain by yourself, or you can download a prebuilt one and install it.
419
 
420 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
421
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
422 2 zero_gravi
 
423 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
424 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
425 2 zero_gravi
 
426 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
427
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
428 2 zero_gravi
 
429
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
430
 
431
 
432 22 zero_gravi
### Dowload the NEORV32 Project
433 2 zero_gravi
 
434 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
435 12 zero_gravi
 
436 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
437
 
438 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
439
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
440 2 zero_gravi
 
441 22 zero_gravi
 
442
### Create a new Hardware Project
443
 
444 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
445
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
446
 
447 36 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) or one of its
448
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try out the processor,
449
you can use the simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
450 2 zero_gravi
 
451 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
452 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
453 23 zero_gravi
 
454 2 zero_gravi
```vhdl
455 9 zero_gravi
  entity neorv32_test_setup is
456
    port (
457
      -- Global control --
458
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
459
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
460
      -- GPIO --
461
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
462
      -- UART --
463
      uart_txd_o : out std_ulogic; -- UART send data
464
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
465
    );
466
  end neorv32_test_setup;
467 2 zero_gravi
```
468
 
469
 
470 23 zero_gravi
### Check the Toolchain
471 2 zero_gravi
 
472 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
473 2 zero_gravi
`sw/example/blink_led` and run:
474
 
475
    neorv32/sw/example/blink_led$ make check
476
 
477 23 zero_gravi
 
478
### Compiling an Example Program
479
 
480 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
481
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
482 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
483 2 zero_gravi
 
484 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
485 2 zero_gravi
 
486 23 zero_gravi
 
487
### Upload the Executable via the Bootloader
488
 
489 34 zero_gravi
You can upload a generated executable directly from the command line using the makefile's `upload` target. Replace `/dev/ttyUSB0` with
490
the according serial port.
491
 
492
    sw/exeample/blink_example$ make COM_PORT=/dev/ttyUSB0` upload
493
 
494
A more "secure" way is to use a dedicated terminal program. This allows to directly interact with the bootloader console.
495 23 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
496 2 zero_gravi
uses the following default UART configuration:
497
 
498 32 zero_gravi
* 19200 Baud
499
* 8 data bits
500
* 1 stop bit
501
* No parity bits
502
* No transmission / flow control protocol (raw bytes only)
503
* Newline on `\r\n` (carriage return & newline) - also for sent data
504 2 zero_gravi
 
505 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
506 2 zero_gravi
 
507 9 zero_gravi
```
508
  << NEORV32 Bootloader >>
509
 
510 37 zero_gravi
  BLDV: Nov  7 2020
511
  HWV:  0x01040606
512 9 zero_gravi
  CLK:  0x0134FD90 Hz
513 13 zero_gravi
  USER: 0x0001CE40
514 9 zero_gravi
  MISA: 0x42801104
515 27 zero_gravi
  PROC: 0x03FF0035
516 9 zero_gravi
  IMEM: 0x00010000 bytes @ 0x00000000
517
  DMEM: 0x00010000 bytes @ 0x80000000
518
 
519
  Autoboot in 8s. Press key to abort.
520
  Aborted.
521
 
522
  Available CMDs:
523
   h: Help
524
   r: Restart
525
   u: Upload
526
   s: Store to flash
527
   l: Load from flash
528
   e: Execute
529
  CMD:> u
530
  Awaiting neorv32_exe.bin... OK
531
  CMD:> e
532
  Booting...
533
 
534
  Blinking LED demo program
535
```
536 2 zero_gravi
 
537 31 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
538 2 zero_gravi
 
539
 
540
 
541 9 zero_gravi
## Contribute
542 2 zero_gravi
 
543 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
544 36 zero_gravi
to [open a new issue](https://github.com/stnolting/neorv32/issues) or directly [drop me a line](mailto:stnolting@gmail.com). If you'd like to contribute:
545 2 zero_gravi
 
546 36 zero_gravi
0. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
547 23 zero_gravi
1. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
548
2. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
549
3. Create a new remote for the upstream repo: `git remote add https://github.com/stnolting/neorv32`
550
3. Commit your modifications: `git commit -m "Awesome new feature!"`
551
4. Push to the branch: `git push origin awesome_new_feature_branch`
552 22 zero_gravi
5. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
553
 
554 2 zero_gravi
 
555 11 zero_gravi
## Legal
556 2 zero_gravi
 
557 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
558 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
559
 
560 37 zero_gravi
#### Citing
561 11 zero_gravi
 
562 34 zero_gravi
If you are using the NEORV32 or some parts of the project in some kind of publication, please cite it as follows:
563 2 zero_gravi
 
564 34 zero_gravi
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
565 2 zero_gravi
 
566 9 zero_gravi
#### BSD 3-Clause License
567 2 zero_gravi
 
568
Copyright (c) 2020, Stephan Nolting. All rights reserved.
569
 
570
Redistribution and use in source and binary forms, with or without modification, are
571
permitted provided that the following conditions are met:
572
 
573
1. Redistributions of source code must retain the above copyright notice, this list of
574
conditions and the following disclaimer.
575
2. Redistributions in binary form must reproduce the above copyright notice, this list of
576
conditions and the following disclaimer in the documentation and/or other materials
577
provided with the distribution.
578
3. Neither the name of the copyright holder nor the names of its contributors may be used to
579
endorse or promote products derived from this software without specific prior written
580
permission.
581
 
582
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
583
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
584
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
585
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
586
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
587
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
588
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
589
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
590
OF THE POSSIBILITY OF SUCH DAMAGE.
591
 
592
 
593 9 zero_gravi
#### Limitation of Liability for External Links
594
 
595 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
596 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
597
such external content. In all cases, the provider of information of the linked websites
598
is liable for the content and accuracy of the information provided. At the point in time
599
when the links were placed, no infringements of the law were recognisable to us. As soon
600
as an infringement of the law becomes known to us, we will immediately remove the
601
link in question.
602
 
603
 
604 11 zero_gravi
#### Proprietary  Notice
605 9 zero_gravi
 
606 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
607
 
608 35 zero_gravi
"Cyclone", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
609 2 zero_gravi
 
610 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
611 11 zero_gravi
 
612 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
613 2 zero_gravi
 
614
 
615
 
616 18 zero_gravi
## Acknowledgements
617 9 zero_gravi
 
618 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
619
 
620 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
621 11 zero_gravi
 
622 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
623
 
624
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
625
 
626
 
627
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
628
 
629
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
630
 
631 32 zero_gravi
--------
632 2 zero_gravi
 
633 37 zero_gravi
This repository was created on June 23rd, 2020.
634 14 zero_gravi
 
635 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.