OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 44

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 zero_gravi
[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo.png)](https://github.com/stnolting/neorv32)
2 2 zero_gravi
 
3 37 zero_gravi
# The NEORV32 RISC-V Processor
4
 
5 43 zero_gravi
[![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22)
6
[![RISC-V Compliance](https://github.com/stnolting/neorv32/workflows/RISC-V%20Compliance/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22RISC-V+Compliance%22)
7 2 zero_gravi
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
8
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
9
 
10 32 zero_gravi
* [Overview](#Overview)
11
* [Project Status](#Status)
12 2 zero_gravi
* [Features](#Features)
13
* [FPGA Implementation Results](#FPGA-Implementation-Results)
14
* [Performance](#Performance)
15 30 zero_gravi
* [Top Entities](#Top-Entities)
16 2 zero_gravi
* [**Getting Started**](#Getting-Started)
17 40 zero_gravi
* [Contribute/Feedback/Questions](#ContributeFeedbackQuestions)
18 2 zero_gravi
* [Legal](#Legal)
19
 
20
 
21
 
22 32 zero_gravi
## Overview
23 2 zero_gravi
 
24 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
25 36 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The processor is intended as *ready-to-go* auxiliary processor within a larger SoC
26 37 zero_gravi
designs or as stand-alone custom microcontroller.
27 2 zero_gravi
 
28 40 zero_gravi
The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
29
To see the changes between releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
30
For more detailed information take a look at the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
31 11 zero_gravi
 
32 40 zero_gravi
 
33 32 zero_gravi
### Key Features
34 2 zero_gravi
 
35 37 zero_gravi
* RISC-V-[compliant](#Status) 32-bit `rv32i` [**NEORV32 CPU**](#NEORV32-CPU-Features), compliant to
36
  * Subset of the *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
37
  * Subset of the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
38 44 zero_gravi
* Configurable RISC-V CPU extensions
39
  * `A` - atomic memory access instructions (optional)
40
  * `B` - Bit manipulation instructions (optional)
41
  * `C` - compressed instructions (16-bit) (optional)
42
  * `E` - embedded CPU (reduced register file (optional)
43
  * `I` - base integer instruction set (always enabled)
44
  * `M` - integer multiplication and division hardware (optional)
45
  * `U` - less-privileged *user mode* (optional)
46
  * `X` - NEORV32_specific extensions (always enabled)
47
  * `Zicsr` - control and status register access instructions (+ exception/irq system) (optional)
48
  * `Zifencei` - instruction stream synchronization (optional)
49
  * `PMP` - physical memory protection (optional)
50
  * `HPM` - hardware performance monitors (optional)
51 39 zero_gravi
* Full-scale RISC-V microcontroller system / **SoC** [**NEORV32 Processor**](#NEORV32-Processor-Features) with optional submodules
52 41 zero_gravi
  * optional embedded memories (instructions/data/bootloader, RAM/ROM) and caches
53 37 zero_gravi
  * timers (watch dog, RISC-V-compliant machine timer)
54 39 zero_gravi
  * serial interfaces (SPI, TWI, UART) and general purpose IO
55 37 zero_gravi
  * external bus interface (Wishbone / [AXI4](#AXI4-Connectivity))
56
  * [more ...](#NEORV32-Processor-Features)
57 36 zero_gravi
* Software framework
58 37 zero_gravi
  * core libraries for high-level usage of the provided functions and peripherals
59
  * application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
60 36 zero_gravi
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
61
  * runtime environment
62
  * several example programs
63 37 zero_gravi
  * [doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
64 36 zero_gravi
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
65 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
66 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
67
* Fully synchronous design, no latches, no gated clocks
68
* Small hardware footprint and high operating frequency
69 15 zero_gravi
 
70 22 zero_gravi
 
71 2 zero_gravi
### Design Principles
72
 
73 39 zero_gravi
 * From zero to *hello_world*: Completely open source and documented.
74 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
75
 * Easy to use – working out of the box.
76
 * Clean synchronous design, no wacky combinatorial interfaces.
77 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
78 40 zero_gravi
 * Be as RISC-V-compliant as possible.
79
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k low-power FPGA running at 20+ MHz.
80 2 zero_gravi
 
81
 
82 36 zero_gravi
### Status
83 3 zero_gravi
 
84 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
85 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
86 2 zero_gravi
 
87 40 zero_gravi
The processor passes the official `rv32_m/C`, `rv32_m/I`, `rv32_m/M`, `rv32_m/privilege` and `rv32_m/Zifencei`
88
[RISC-V compliance tests (new framework v2)](https://github.com/riscv/riscv-compliance).
89 2 zero_gravi
 
90 43 zero_gravi
| Project component | CI status |
91
|:----------------- |:----------|
92
| [NEORV32 processor](https://github.com/stnolting/neorv32)                                             | [![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22) |
93
| [SW Framework Documentation (online)](https://stnolting.github.io/neorv32/files.html)                 | [![Doc@GitHub-pages](https://github.com/stnolting/neorv32/workflows/Deploy%20SW%20Framework%20Documentation%20to%20GitHub-Pages/badge.svg)](https://stnolting.github.io/neorv32/files.html) |
94
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)                                | [![Test Toolchains](https://github.com/stnolting/riscv_gcc_prebuilt/workflows/Test%20Toolchains/badge.svg)](https://github.com/stnolting/riscv_gcc_prebuilt/actions?query=workflow%3A%22Test+Toolchains%22) |
95
| [RISC-V compliance test](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md) | [![RISC-V Compliance](https://github.com/stnolting/neorv32/workflows/RISC-V%20Compliance/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22RISC-V+Compliance%22) |
96 6 zero_gravi
 
97
 
98 43 zero_gravi
 
99 39 zero_gravi
### To-Do / Wish List / Help Wanted
100 7 zero_gravi
 
101 35 zero_gravi
* Use LaTeX for data sheet
102 44 zero_gravi
* Further size and performance optimization
103 41 zero_gravi
* Add associativity configuration for instruction cache
104 42 zero_gravi
* Add *data* cache
105 39 zero_gravi
* Burst mode for the external memory/bus interface
106 44 zero_gravi
* RISC-V `F` (using `[Zfinx](https://github.com/riscv/riscv-zfinx/blob/master/Zfinx_spec.adoc)`?) CPU extension (single-precision floating point)
107
* Add template (HW module + intrinsics skeleton) for custom instructions?
108 39 zero_gravi
* Synthesis results (+ wrappers?) for more/specific platforms
109 42 zero_gravi
* More support for FreeRTOS (like *all* traps)
110 40 zero_gravi
* Port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
111
* Implement further RISC-V (or custom?) CPU extensions
112
* Add debugger ([RISC-V debug spec](https://github.com/riscv/riscv-debug-spec))
113 42 zero_gravi
* Add memory-mapped trigger to testbench to quit simulation (maybe using VHDL2008's `use std.env.finish`?)
114 36 zero_gravi
* ...
115 40 zero_gravi
* [Ideas?](#ContributeFeedbackQuestions)
116 7 zero_gravi
 
117
 
118 36 zero_gravi
 
119 2 zero_gravi
## Features
120
 
121 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
122 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
123 31 zero_gravi
 
124 44 zero_gravi
 
125 36 zero_gravi
### NEORV32 Processor Features
126 2 zero_gravi
 
127 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
128
 
129 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
130 37 zero_gravi
is highly customizable via the processor's top generics and already provides the following *optional* modules:
131 2 zero_gravi
 
132 41 zero_gravi
* processor-internal data and instruction memories (**DMEM** / **IMEM**) & cache (**iCACHE**)
133
* bootloader (**BOOTLDROM**) with UART console and automatic application boot from SPI flash option
134 37 zero_gravi
* machine system timer (**MTIME**), RISC-V-compliant
135
* watchdog timer (**WDT**)
136
* universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
137
* 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
138
* two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
139
* general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
140
* 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
141
* wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity))
142
* PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
143
* GARO-based true random number generator (**TRNG**)
144
* custom functions units (**CFU0** and **CFU1**) for tightly-coupled custom co-processors
145
* system configuration information memory to check hardware configuration by software (**SYSINFO**, mandatory - not *optional*)
146 23 zero_gravi
 
147 44 zero_gravi
 
148 36 zero_gravi
### NEORV32 CPU Features
149 2 zero_gravi
 
150 40 zero_gravi
The NEORV32 CPU is **compliant** to the
151 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
152 40 zero_gravi
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf)
153
tested via the [official RISC-V Compliance Test Framework](https://github.com/riscv/riscv-compliance)
154
(see [`riscv-compliance/README`](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md)).
155 2 zero_gravi
 
156 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
157 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
158 11 zero_gravi
 
159
 
160
**General**:
161 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
162 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
163 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
164 40 zero_gravi
  * BIG-ENDIAN byte-order, processor's external memory interface allows endianness configuration to connect to system with different endianness
165 23 zero_gravi
  * All reserved or unimplemented instructions will raise an illegal instruction exception
166 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
167 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
168 11 zero_gravi
 
169
 
170 3 zero_gravi
**RV32I base instruction set** (`I` extension):
171 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
172 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
173 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
174 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
175 40 zero_gravi
  * Pseudo-instructions are not listed
176 2 zero_gravi
 
177 3 zero_gravi
**Compressed instructions** (`C` extension):
178 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
179 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
180 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
181 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
182 40 zero_gravi
  * Pseudo-instructions are not listed
183 2 zero_gravi
 
184 3 zero_gravi
**Embedded CPU version** (`E` extension):
185 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
186
 
187 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
188 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
189
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
190 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
191
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
192 2 zero_gravi
 
193 39 zero_gravi
**Atomic memory access** (`A` extension):
194 40 zero_gravi
  * Supported instructions: `LR.W` (load-reservate) `SC.W` (store-conditional)
195 39 zero_gravi
 
196 44 zero_gravi
**Bit manipulation instructions** (`B` extension implying `Zbb` extension):
197
  * :warning: RISC-V `B` extension is not officially ratified yet!
198
  * Compatible to [v0.94-draft](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/bitmanip-draft.pdf) of the bit manipulation spec
199
  * Support via intrisc library (see [`sw/example/bit_manipulation`](https://github.com/stnolting/neorv32/tree/master/sw/example/bit_manipulation))
200
  * Only the `Zbb` base instructions subset is supported yet
201
  * Supported instructions: `CLZ` `CTZ` `CPOP` `SEXT.B` `SEXT.H` `MIN[U]` `MAX[U]` `ANDN` `ORN` `XNOR` `ROL` `ROR` `RORI` `zext`(*pseudo-instruction* for `PACK rd, rs, zero`) `rev8`(*pseudo-instruction* for `GREVI rd, rs, -8`) `orc.b`(*pseudo-instruction* for `GORCI rd, rs, 7`)
202
 
203 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
204 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
205
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
206 8 zero_gravi
  * System instructions: `MRET` `WFI`
207 40 zero_gravi
  * Pseudo-instructions are not listed
208 42 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[m]` `time[h]` `[m]hpmcounter*[h]`(3..31, configurable) `mcounteren` `mcountinhibit` `mhpmevent*`(3..31, configurable)
209
  * Machine CSRs: `mstatus[h]` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
210 2 zero_gravi
  * Supported exceptions and interrupts:
211
    * Misaligned instruction address
212 38 zero_gravi
    * Instruction access fault (via unacknowledged bus access after timeout)
213 2 zero_gravi
    * Illegal instruction
214 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
215 2 zero_gravi
    * Load address misaligned
216 38 zero_gravi
    * Load access fault (via unacknowledged bus access after timeout)
217 4 zero_gravi
    * Store address misaligned
218 38 zero_gravi
    * Store access fault (via unacknowledged bus access after timeout)
219 40 zero_gravi
    * Environment call from U-mode (via `ecall` instruction in user mode)
220
    * Environment call from M-mode (via `ecall` instruction in machine mode)
221
    * Machine timer interrupt `mti` (via processor's MTIME unit / external signal)
222 15 zero_gravi
    * Machine software interrupt `msi` (via external signal)
223
    * Machine external interrupt `mei` (via external signal)
224
    * Four fast interrupt requests (custom extension)
225 2 zero_gravi
 
226 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
227 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
228 15 zero_gravi
 
229 44 zero_gravi
**Privileged architecture / Instruction stream synchronization** (`Zifencei` extension):
230 41 zero_gravi
  * System instructions: `FENCE.I` (among others, used to clear and reload instruction cache)
231 8 zero_gravi
 
232 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
233 44 zero_gravi
  * Configurable number of regions (0..63)
234 42 zero_gravi
  * Additional machine CSRs: `pmpcfg*`(0..15) `pmpaddr*`(0..63)
235 2 zero_gravi
 
236 44 zero_gravi
**Privileged architecture / Hardware performance monitors** (`HPM`, requires `Zicsr` extension):
237
  * Configurable number of counters (0..29)
238
  * Additional machine CSRs: `mhpmevent*`(3..31) `[m]hpmcounter*[h]`(3..31)
239 15 zero_gravi
 
240 23 zero_gravi
 
241 44 zero_gravi
### :warning: Non-RISC-V-Compliant Issues and Limitations
242
 
243 40 zero_gravi
* CPU and Processor are BIG-ENDIAN, but this should be no problem as the external memory bus interface provides big- and little-endian configurations
244 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
245 42 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode yet and a minimal granularity of 8 bytes
246 39 zero_gravi
* The `A` extension only implements `lr.w` and `sc.w` instructions yet. However, these instructions are sufficient to emulate all further AMO operations
247 44 zero_gravi
* The `mcause` trap code `0x80000000` (originally reserved in the RISC-V specs) is used to indicate a hardware reset (as "non-maskable interrupt")
248
* The bit manipulation extension is not yet officially ratified, but is expected to stay unchanged. There is no software support in the upstream GCC RISC-V port yet. However, an intrinsic library is provided to utilize the provided bit manipulation extension from C-language code (see [`sw/example/bit_manipulation`](https://github.com/stnolting/neorv32/tree/master/sw/example/bit_manipulation)). NEORV32's `B`/`Zbb` extension is compliant to spec. version "0.94-draft".
249 23 zero_gravi
 
250
### NEORV32-Specific CPU Extensions
251
 
252
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
253
 
254
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
255
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
256 40 zero_gravi
* All undefined/umimplemented/malformed/illegal instructions do raise an illegal instruction exception
257 23 zero_gravi
 
258
 
259
 
260 2 zero_gravi
## FPGA Implementation Results
261
 
262 23 zero_gravi
### NEORV32 CPU
263
 
264
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
265 37 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 20.1** ("balanced implementation"). The timing
266 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
267 42 zero_gravi
of the CPU's generics is assumed (e.g. no physical memory protection, no hardware performance monitors).
268
No constraints were used at all. The `u` and `Zifencei` extensions have a negligible impact on the hardware requirements.
269 2 zero_gravi
 
270 44 zero_gravi
Results generated for hardware version [`1.4.9.10`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
271 2 zero_gravi
 
272 44 zero_gravi
| CPU Configuration                        | LEs        | FFs      | Memory bits | DSPs | f_max   |
273
|:-----------------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
274
| `rv32i`                                  |       1190 |      512 |       2048  |    0 | 120 MHz |
275
| `rv32i`     + `u` + `Zicsr` + `Zifencei` |       1927 |      903 |       2048  |    0 | 123 MHz |
276
| `rv32im`    + `u` + `Zicsr` + `Zifencei` |       2471 |     1148 |       2048  |    0 | 120 MHz |
277
| `rv32imc`   + `u` + `Zicsr` + `Zifencei` |       2716 |     1165 |       2048  |    0 | 120 MHz |
278
| `rv32imac`  + `u` + `Zicsr` + `Zifencei` |       2736 |     1168 |       2048  |    0 | 120 MHz |
279
| `rv32imacb` + `u` + `Zicsr` + `Zifencei` |       3045 |     1260 |       2048  |    0 | 114 MHz |
280 2 zero_gravi
 
281 39 zero_gravi
Setups with enabled "embedded CPU extension" `E` show the same LUT and FF utilization and identical f_max. However, the size of the register file is cut in half.
282 2 zero_gravi
 
283 39 zero_gravi
 
284 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
285
 
286 44 zero_gravi
Results generated for hardware version [`1.4.9.10`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
287 11 zero_gravi
 
288 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
289 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
290 37 zero_gravi
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |    0 |
291 40 zero_gravi
| BUSSWITCH | Mux for CPU I & D interfaces                         |  65 |   8 |           0 |    0 |
292 41 zero_gravi
| iCACHE    | Proc.-int. nstruction cache (default 1x4x54 bytes)   | 234 | 156 |       8 192 |    0 |
293 34 zero_gravi
| CFU0      | Custom functions unit 0                              |   - |   - |           - |    - |
294
| CFU1      | Custom functions unit 1                              |   - |   - |           - |    - |
295 39 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |   6 |   2 |      65 536 |    0 |
296 40 zero_gravi
| GPIO      | General purpose input/output ports                   |  67 |  65 |           0 |    0 |
297 39 zero_gravi
| IMEM      | Processor-internal instruction memory (default 16kb) |   6 |   2 |     131 072 |    0 |
298 40 zero_gravi
| MTIME     | Machine system timer                                 | 274 | 166 |           0 |    0 |
299 39 zero_gravi
| PWM       | Pulse-width modulation controller                    |  71 |  69 |           0 |    0 |
300 40 zero_gravi
| SPI       | Serial peripheral interface                          | 138 | 124 |           0 |    0 |
301
| SYSINFO   | System configuration information memory              |  11 |  10 |           0 |    0 |
302 31 zero_gravi
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
303 40 zero_gravi
| TWI       | Two-wire interface                                   |  77 |  46 |           0 |    0 |
304
| UART      | Universal asynchronous receiver/transmitter          | 176 | 132 |           0 |    0 |
305
| WDT       | Watchdog timer                                       |  60 |  45 |           0 |    0 |
306 39 zero_gravi
| WISHBONE  | External memory interface                            | 129 | 104 |           0 |    0 |
307 2 zero_gravi
 
308
 
309 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
310 6 zero_gravi
 
311 34 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFUs_ and no _TRNG_),
312 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
313 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
314 40 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals. The "default" strategy of each toolchain is used.
315 6 zero_gravi
 
316 40 zero_gravi
Results generated for hardware version [`1.4.9.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
317 6 zero_gravi
 
318 40 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
319
|:--------|:----------------------------------|:-----------------|:---------------------------|:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
320
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 20.1    | `rv32imc` + `u` + `Zicsr` + `Zifencei`         | 3813 (17%) | 1904  (8%) | 0 (0%) | 231424 (38%) |          - |        - |       119 MHz |
321
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4397 (83%) | 1679 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) | *c* 22.15 MHz |
322
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2465 (12%) | 1912  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
323 2 zero_gravi
 
324 23 zero_gravi
**_Notes_**
325 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
326 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
327
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
328 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
329
bootloader to store and automatically boot an application program after reset (both tested successfully).
330 40 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 64kB.
331 42 zero_gravi
* No HPM counters are implemented.
332 2 zero_gravi
 
333 22 zero_gravi
 
334
 
335 2 zero_gravi
## Performance
336
 
337
### CoreMark Benchmark
338
 
339
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
340
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
341
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
342
 
343
~~~
344
**Configuration**
345 42 zero_gravi
Hardware:       32kB IMEM, 16kB DMEM, no caches(!), 100MHz clock
346 38 zero_gravi
CoreMark:       2000 iterations, MEM_METHOD is MEM_STACK
347
Compiler:       RISCV32-GCC 10.1.0 (rv32i toolchain)
348
Compiler flags: default, see makefile
349
Peripherals:    UART for printing the results
350 2 zero_gravi
~~~
351
 
352 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
353
 
354
| CPU (including `Zicsr`)                     | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
355 34 zero_gravi
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
356 42 zero_gravi
| `rv32i`                                     |    28 756 bytes |        `-O3` |          36.36 |    **0.3636** |
357
| `rv32im`                                    |    27 516 bytes |        `-O3` |          68.97 |    **0.6897** |
358
| `rv32imc`                                   |    22 008 bytes |        `-O3` |          68.97 |    **0.6897** |
359
| `rv32imc` + `FAST_MUL_EN`                   |    22 008 bytes |        `-O3` |          86.96 |    **0.8696** |
360
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    22 008 bytes |        `-O3` |          90.91 |    **0.9091** |
361 2 zero_gravi
 
362 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
363
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
364 2 zero_gravi
 
365 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
366 22 zero_gravi
 
367 34 zero_gravi
 
368 2 zero_gravi
### Instruction Cycles
369
 
370 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
371 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
372
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
373 42 zero_gravi
CPU extensions. *By default* the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
374 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
375
 
376 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
377 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
378 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
379 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
380 2 zero_gravi
 
381 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
382 2 zero_gravi
 
383 42 zero_gravi
| CPU  (including `Zicsr`)                    | Required Clock Cycles | Executed Instructions | Average CPI |
384 34 zero_gravi
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
385 42 zero_gravi
| `rv32i`                                     |         5 595 750 503 |         1 466 028 607 |    **3.82** |
386
| `rv32im`                                    |         2 966 086 503 |           598 651 143 |    **4.95** |
387
| `rv32imc`                                   |         2 981 786 734 |           611 814 918 |    **4.87** |
388
| `rv32imc` + `FAST_MUL_EN`                   |         2 399 234 734 |           611 814 918 |    **3.92** |
389
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 265 135 174 |           611 814 948 |    **3.70** |
390 2 zero_gravi
 
391 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
392
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
393
 
394 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
395 12 zero_gravi
 
396 22 zero_gravi
 
397 31 zero_gravi
 
398 14 zero_gravi
## Top Entities
399 2 zero_gravi
 
400 36 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd).
401 2 zero_gravi
 
402 36 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
403 34 zero_gravi
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected (`open`) and tie all unused
404
input ports to zero (`'0'` or `(others => '0')`, respectively).
405 14 zero_gravi
 
406 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
407 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
408 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
409 22 zero_gravi
 
410 23 zero_gravi
 
411 36 zero_gravi
### Using the CPU in Stand-Alone Mode
412 23 zero_gravi
 
413 36 zero_gravi
If you do not want to use the NEORV32 processor setup, you can also use the CPU in stand-alone mode and build your own system around it.
414
The top entity of the stand-alone **NEORV32 CPU** is [`rtl/core/neorv32_cpu.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd).
415
Note that the CPU uses a proprietary interface for accessing data and instruction memory. More information can be found in the
416 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
417 14 zero_gravi
 
418 36 zero_gravi
:warning: It is recommended to use the processor setup even if you only want to use the CPU. Simply disable all the processor-internal modules via the generics
419
and you will get a "CPU wrapper" that provides a minimal CPU environment and an external memory interface (like AXI4). This setup also allows to further use the default
420
bootloader and application makefiles. From this base you can start building your own processor system.
421 2 zero_gravi
 
422 36 zero_gravi
 
423
### Alternative Top Entities
424
 
425
*Alternative top entities*, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
426
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
427
 
428
 
429 35 zero_gravi
### AXI4 Connectivity
430 22 zero_gravi
 
431 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
432
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
433
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
434 2 zero_gravi
 
435 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
436
 
437
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
438
 
439
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
440
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
441
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
442
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
443
 
444
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
445
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
446
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
447
 
448
 
449
 
450 2 zero_gravi
## Getting Started
451
 
452
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
453
 
454 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
455 2 zero_gravi
 
456
 
457 14 zero_gravi
### Toolchain
458 2 zero_gravi
 
459
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
460
and build the toolchain by yourself, or you can download a prebuilt one and install it.
461
 
462 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
463
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
464 2 zero_gravi
 
465 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
466 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
467 2 zero_gravi
 
468 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
469 40 zero_gravi
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
470
[:octocat: github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
471 2 zero_gravi
 
472
 
473 22 zero_gravi
### Dowload the NEORV32 Project
474 2 zero_gravi
 
475 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
476 12 zero_gravi
 
477 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
478
 
479 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
480
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
481 2 zero_gravi
 
482 22 zero_gravi
 
483
### Create a new Hardware Project
484
 
485 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
486
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
487
 
488 40 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) or one of its
489 36 zero_gravi
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try out the processor,
490
you can use the simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
491 2 zero_gravi
 
492 40 zero_gravi
![neorv32 test setup](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_test_setup.png)
493
 
494
 
495 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
496 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
497 23 zero_gravi
 
498 2 zero_gravi
```vhdl
499 9 zero_gravi
  entity neorv32_test_setup is
500
    port (
501
      -- Global control --
502
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
503
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
504
      -- GPIO --
505
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
506
      -- UART --
507
      uart_txd_o : out std_ulogic; -- UART send data
508
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
509
    );
510
  end neorv32_test_setup;
511 2 zero_gravi
```
512
 
513
 
514 23 zero_gravi
### Check the Toolchain
515 2 zero_gravi
 
516 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
517 2 zero_gravi
`sw/example/blink_led` and run:
518
 
519
    neorv32/sw/example/blink_led$ make check
520
 
521 23 zero_gravi
 
522
### Compiling an Example Program
523
 
524 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
525
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
526 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
527 2 zero_gravi
 
528 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
529 2 zero_gravi
 
530 23 zero_gravi
 
531
### Upload the Executable via the Bootloader
532
 
533 34 zero_gravi
You can upload a generated executable directly from the command line using the makefile's `upload` target. Replace `/dev/ttyUSB0` with
534
the according serial port.
535
 
536
    sw/exeample/blink_example$ make COM_PORT=/dev/ttyUSB0` upload
537
 
538
A more "secure" way is to use a dedicated terminal program. This allows to directly interact with the bootloader console.
539 23 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
540 2 zero_gravi
uses the following default UART configuration:
541
 
542 32 zero_gravi
* 19200 Baud
543
* 8 data bits
544
* 1 stop bit
545
* No parity bits
546
* No transmission / flow control protocol (raw bytes only)
547
* Newline on `\r\n` (carriage return & newline) - also for sent data
548 2 zero_gravi
 
549 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
550 2 zero_gravi
 
551 9 zero_gravi
```
552 43 zero_gravi
<< NEORV32 Bootloader >>
553
 
554
BLDV: Nov  7 2020
555
HWV:  0x01040606
556
CLK:  0x0134FD90 Hz
557
USER: 0x0001CE40
558
MISA: 0x42801104
559
PROC: 0x03FF0035
560
IMEM: 0x00010000 bytes @ 0x00000000
561
DMEM: 0x00010000 bytes @ 0x80000000
562
 
563
Autoboot in 8s. Press key to abort.
564
Aborted.
565
 
566
Available CMDs:
567
 h: Help
568
 r: Restart
569
 u: Upload
570
 s: Store to flash
571
 l: Load from flash
572
 e: Execute
573
CMD:> u
574
Awaiting neorv32_exe.bin... OK
575
CMD:> e
576
Booting...
577
 
578
Blinking LED demo program
579 9 zero_gravi
```
580 2 zero_gravi
 
581 40 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
582 2 zero_gravi
 
583
 
584
 
585 40 zero_gravi
## Contribute/Feedback/Questions
586 2 zero_gravi
 
587 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
588 40 zero_gravi
to [:bulb: open a new issue](https://github.com/stnolting/neorv32/issues), start a new [:sparkles: discussion on GitHub](https://github.com/stnolting/neorv32/discussions)
589
or directly [:e-mail: drop me a line](mailto:stnolting@gmail.com).
590 2 zero_gravi
 
591 40 zero_gravi
If you'd like to directly contribute to this repository:
592 22 zero_gravi
 
593 40 zero_gravi
0. :star: this repository ;)
594
1. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
595
2. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
596
3. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
597
4. Create a new remote for the upstream repo: `git remote add upstream https://github.com/stnolting/neorv32`
598
5. Commit your modifications: `git commit -m "Awesome new feature!"`
599
6. Push to the branch: `git push origin awesome_new_feature_branch`
600
7. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
601 2 zero_gravi
 
602 40 zero_gravi
 
603 11 zero_gravi
## Legal
604 2 zero_gravi
 
605 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
606 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
607
 
608 37 zero_gravi
#### Citing
609 11 zero_gravi
 
610 34 zero_gravi
If you are using the NEORV32 or some parts of the project in some kind of publication, please cite it as follows:
611 2 zero_gravi
 
612 34 zero_gravi
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
613 2 zero_gravi
 
614 9 zero_gravi
#### BSD 3-Clause License
615 2 zero_gravi
 
616 42 zero_gravi
Copyright (c) 2021, Stephan Nolting. All rights reserved.
617 2 zero_gravi
 
618
Redistribution and use in source and binary forms, with or without modification, are
619
permitted provided that the following conditions are met:
620
 
621
1. Redistributions of source code must retain the above copyright notice, this list of
622
conditions and the following disclaimer.
623
2. Redistributions in binary form must reproduce the above copyright notice, this list of
624
conditions and the following disclaimer in the documentation and/or other materials
625
provided with the distribution.
626
3. Neither the name of the copyright holder nor the names of its contributors may be used to
627
endorse or promote products derived from this software without specific prior written
628
permission.
629
 
630
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
631
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
632
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
633
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
634
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
635
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
636
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
637
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
638
OF THE POSSIBILITY OF SUCH DAMAGE.
639
 
640
 
641 9 zero_gravi
#### Limitation of Liability for External Links
642
 
643 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
644 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
645
such external content. In all cases, the provider of information of the linked websites
646
is liable for the content and accuracy of the information provided. At the point in time
647
when the links were placed, no infringements of the law were recognisable to us. As soon
648
as an infringement of the law becomes known to us, we will immediately remove the
649
link in question.
650
 
651
 
652 11 zero_gravi
#### Proprietary  Notice
653 9 zero_gravi
 
654 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
655
 
656 35 zero_gravi
"Cyclone", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
657 2 zero_gravi
 
658 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
659 11 zero_gravi
 
660 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
661 2 zero_gravi
 
662
 
663
 
664 18 zero_gravi
## Acknowledgements
665 9 zero_gravi
 
666 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
667
 
668 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
669 11 zero_gravi
 
670 43 zero_gravi
Continous integration provided by [:octocat: GitHub Actions](https://github.com/features/actions) and powered by [GHDL](https://github.com/ghdl/ghdl).
671 2 zero_gravi
 
672
 
673
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
674
 
675
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
676
 
677 32 zero_gravi
--------
678 2 zero_gravi
 
679 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.