OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 55

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 zero_gravi
[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo_dark.png)](https://github.com/stnolting/neorv32)
2 2 zero_gravi
 
3 37 zero_gravi
# The NEORV32 RISC-V Processor
4
 
5 43 zero_gravi
[![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22)
6 52 zero_gravi
[![riscv-arch-test](https://github.com/stnolting/neorv32/actions/workflows/riscv-arch-test.yml/badge.svg)](https://github.com/stnolting/neorv32/actions/workflows/riscv-arch-test.yml)
7 2 zero_gravi
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
8
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
9
 
10 32 zero_gravi
* [Overview](#Overview)
11 47 zero_gravi
* [Status](#Status)
12 2 zero_gravi
* [Features](#Features)
13
* [FPGA Implementation Results](#FPGA-Implementation-Results)
14
* [Performance](#Performance)
15 30 zero_gravi
* [Top Entities](#Top-Entities)
16 2 zero_gravi
* [**Getting Started**](#Getting-Started)
17 40 zero_gravi
* [Contribute/Feedback/Questions](#ContributeFeedbackQuestions)
18 2 zero_gravi
* [Legal](#Legal)
19
 
20
 
21
 
22 32 zero_gravi
## Overview
23 2 zero_gravi
 
24 54 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
25
 
26 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
27 55 zero_gravi
on the RISC-V NEORV32 CPU. The processor is intended as auxiliary processor within a larger SoC
28
designs or as *ready-to-go* stand-alone custom microcontroller.
29 2 zero_gravi
 
30 51 zero_gravi
:books: For detailed information take a look at the [NEORV32 data sheet (pdf)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
31
The doxygen-based documentation of the *software framework* is available online at [GitHub-pages](https://stnolting.github.io/neorv32/files.html).
32 45 zero_gravi
 
33 51 zero_gravi
:label: The project’s change log is available as [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) in the root directory of this repository.
34
To see the changes between *stable* releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
35 11 zero_gravi
 
36 52 zero_gravi
:spiral_notepad: Check out the [project boards](https://github.com/stnolting/neorv32/projects) for a list of current **ideas**,
37
**TODOs**, features being **planned** and **work-in-progress**.
38 40 zero_gravi
 
39 51 zero_gravi
:bulb: Feel free to open a [new issue](https://github.com/stnolting/neorv32/issues) or start a [new discussion](https://github.com/stnolting/neorv32/discussions)
40
if you have questions, comments, ideas or bug-fixes. Check out how to [contribute](#ContributeFeedbackQuestions).
41 47 zero_gravi
 
42 51 zero_gravi
 
43 32 zero_gravi
### Key Features
44 2 zero_gravi
 
45 52 zero_gravi
* RISC-V 32-bit `rv32` [**NEORV32 CPU**](#NEORV32-CPU-Features), compatible to
46 51 zero_gravi
  * subset of the *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
47
  * subset of the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
48 52 zero_gravi
  * the [official RISC-V architecture tests](#Status) (*passing*)
49
* Configurable RISC-V-compatible CPU extensions
50 51 zero_gravi
  * [`A`](#A---Atomic-memory-access-extension) - atomic memory access instructions (optional)
51 52 zero_gravi
  * [`B`](#B---Bit-manipulation-instructions-extension) - Bit manipulation instructions (optional) :construction:
52 51 zero_gravi
  * [`C`](#C---Compressed-instructions-extension) - compressed instructions (16-bit) (optional)
53
  * [`E`](#E---Embedded-CPU-version-extension) - embedded CPU (reduced register file size) (optional)
54
  * [`I`](#I---Base-integer-instruction-set) - base integer instruction set (always enabled)
55
  * [`M`](#M---Integer-multiplication-and-division-hardware-extension) - integer multiplication and division hardware (optional)
56
  * [`U`](#U---Privileged-architecture---User-mode-extension) - less-privileged *user mode* (optional)
57
  * [`X`](#X---NEORV32-specific-CPU-extensions) - NEORV32-specific extensions (always enabled)
58 55 zero_gravi
  * [`Zfinx`](#Zfinx---Single-precision-floating-point-extension) - Single-precision floating-point extensions (optional)
59 51 zero_gravi
  * [`Zicsr`](#Zicsr---Privileged-architecture---CSR-access-extension) - control and status register access instructions (+ exception/irq system) (optional)
60
  * [`Zifencei`](#Zifencei---Privileged-architecture---Instruction-stream-synchronization-extension) - instruction stream synchronization (optional)
61
  * [`PMP`](#PMP---Privileged-architecture---Physical-memory-protection) - physical memory protection (optional)
62
  * [`HPM`](#HPM---Privileged-architecture---Hardware-performance-monitors) - hardware performance monitors (optional)
63 39 zero_gravi
* Full-scale RISC-V microcontroller system / **SoC** [**NEORV32 Processor**](#NEORV32-Processor-Features) with optional submodules
64 41 zero_gravi
  * optional embedded memories (instructions/data/bootloader, RAM/ROM) and caches
65 52 zero_gravi
  * timers (watch dog, RISC-V-compatible machine timer)
66 50 zero_gravi
  * serial interfaces (SPI, TWI, UARTs)
67 47 zero_gravi
  * general purpose IO and PWM channels
68 37 zero_gravi
  * external bus interface (Wishbone / [AXI4](#AXI4-Connectivity))
69 53 zero_gravi
  * dedicated NeoPixel(TM) LED interface
70 48 zero_gravi
  * subsystem for custom co-processors
71 37 zero_gravi
  * [more ...](#NEORV32-Processor-Features)
72 36 zero_gravi
* Software framework
73 37 zero_gravi
  * core libraries for high-level usage of the provided functions and peripherals
74
  * application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
75 46 zero_gravi
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv-gcc-prebuilt))
76 45 zero_gravi
  * bootloader with UART interface console
77 36 zero_gravi
  * runtime environment
78
  * several example programs
79 55 zero_gravi
  * [doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/Doxyfile) software documentation: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
80 36 zero_gravi
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
81 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
82 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
83
* Fully synchronous design, no latches, no gated clocks
84
* Small hardware footprint and high operating frequency
85 15 zero_gravi
 
86 22 zero_gravi
 
87 2 zero_gravi
### Design Principles
88
 
89 39 zero_gravi
 * From zero to *hello_world*: Completely open source and documented.
90 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
91
 * Easy to use – working out of the box.
92
 * Clean synchronous design, no wacky combinatorial interfaces.
93 51 zero_gravi
 * Be as small as possible – but with a reasonable size-performance trade-off.
94 40 zero_gravi
 * Be as RISC-V-compliant as possible.
95 51 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k low-power FPGA running at 22+ MHz.
96 2 zero_gravi
 
97
 
98 36 zero_gravi
### Status
99 3 zero_gravi
 
100 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
101 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
102 2 zero_gravi
 
103 52 zero_gravi
**RISC-V Architecture Tests**: The processor passes the official `rv32_m/C`, `rv32_m/I`, `rv32_m/M`, `rv32_m/privilege` and `rv32_m/Zifencei`
104
[riscv-arch-test](https://github.com/riscv/riscv-arch-test) tests. More information regarding the NEORV32 port of the riscv-arch-test test framework can be found in
105
[`riscv-arch-test/README.md`](https://github.com/stnolting/neorv32/blob/master/riscv-arch-test/README.md).
106 2 zero_gravi
 
107 43 zero_gravi
| Project component | CI status |
108
|:----------------- |:----------|
109 52 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                                              | [![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22) |
110
| [SW Framework Documentation (online at GH-pages)](https://stnolting.github.io/neorv32/files.html)        | [![Doc@GitHub-pages](https://github.com/stnolting/neorv32/workflows/Deploy%20SW%20Framework%20Documentation%20to%20GitHub-Pages/badge.svg)](https://stnolting.github.io/neorv32/files.html) |
111
| [Pre-built toolchains](https://github.com/stnolting/riscv-gcc-prebuilt)                                | [![Test Toolchains](https://github.com/stnolting/riscv-gcc-prebuilt/workflows/Test%20Toolchains/badge.svg)](https://github.com/stnolting/riscv-gcc-prebuilt/actions?query=workflow%3A%22Test+Toolchains%22) |
112
| [RISC-V architecture test](https://github.com/stnolting/neorv32/blob/master/riscv-arch-test/README.md) | [![riscv-arch-test](https://github.com/stnolting/neorv32/actions/workflows/riscv-arch-test.yml/badge.svg)](https://github.com/stnolting/neorv32/actions/workflows/riscv-arch-test.yml) |
113 6 zero_gravi
 
114
 
115 2 zero_gravi
## Features
116
 
117 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
118 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
119 31 zero_gravi
 
120 44 zero_gravi
 
121 36 zero_gravi
### NEORV32 Processor Features
122 2 zero_gravi
 
123 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
124 37 zero_gravi
is highly customizable via the processor's top generics and already provides the following *optional* modules:
125 2 zero_gravi
 
126 41 zero_gravi
* processor-internal data and instruction memories (**DMEM** / **IMEM**) & cache (**iCACHE**)
127
* bootloader (**BOOTLDROM**) with UART console and automatic application boot from SPI flash option
128 52 zero_gravi
* machine system timer (**MTIME**), RISC-V-compatible
129 37 zero_gravi
* watchdog timer (**WDT**)
130 51 zero_gravi
* two independent universal asynchronous receivers and transmitters (**UART0** & **UART1**) with optional hardware flow control (RTS/CTS)
131 37 zero_gravi
* 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
132
* two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
133
* general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
134 52 zero_gravi
* 32-bit external bus interface, Wishbone b4 compatible (**WISHBONE**)
135 37 zero_gravi
* wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity))
136
* PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
137 47 zero_gravi
* ring-oscillator-based true random number generator (**TRNG**)
138
* custom functions subsystem (**CFS**) for tightly-coupled custom co-processor extensions
139 49 zero_gravi
* numerically-controlled oscillator (**NCO**) with three independent channels
140 52 zero_gravi
* smart LED interface (**NEOLED**) - WS2812 / NeoPixel(c) compatible
141 51 zero_gravi
* system configuration information memory to check hardware configuration by software (**SYSINFO**)
142 23 zero_gravi
 
143 44 zero_gravi
 
144 36 zero_gravi
### NEORV32 CPU Features
145 2 zero_gravi
 
146 52 zero_gravi
The NEORV32 CPU implements the
147 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
148 40 zero_gravi
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf)
149 52 zero_gravi
- tested via the [official riscv-arch-test Test Framework](https://github.com/riscv/riscv-arch-test)
150
(see [`riscv-arch-test/README`](https://github.com/stnolting/neorv32/blob/master/riscv-arch-test/README.md)).
151 2 zero_gravi
 
152 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
153 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
154 11 zero_gravi
 
155
 
156 47 zero_gravi
#### General Features
157
 
158 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
159 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
160 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
161 40 zero_gravi
  * BIG-ENDIAN byte-order, processor's external memory interface allows endianness configuration to connect to system with different endianness
162 23 zero_gravi
  * All reserved or unimplemented instructions will raise an illegal instruction exception
163 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
164 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
165 11 zero_gravi
 
166
 
167 51 zero_gravi
#### `A` - Atomic memory access extension
168 2 zero_gravi
 
169 47 zero_gravi
  * Supported instructions: `LR.W` (load-reservate) `SC.W` (store-conditional)
170
 
171
 
172 51 zero_gravi
#### `B` - Bit manipulation instructions extension
173 47 zero_gravi
 
174 53 zero_gravi
  * :construction: **work-in-progress** :construction:
175
  * :warning: this extension has not been officially ratified yet!
176
  * :books: more information can be found here: [RISC-V `B` spec.](https://github.com/riscv/riscv-bitmanip)
177 47 zero_gravi
  * Compatible to [v0.94-draft](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/bitmanip-draft.pdf) of the bit manipulation spec
178 55 zero_gravi
  * Software support via intrinsic library (see [`sw/example/bit_manipulation`](https://github.com/stnolting/neorv32/tree/master/sw/example/bit_manipulation))
179 52 zero_gravi
  * `Zbb` base instruction set: `CLZ` `CTZ` `CPOP` `SEXT.B` `SEXT.H` `MIN[U]` `MAX[U]` `ANDN` `ORN` `XNOR` `ROL` `ROR[I]` `zext`(*pseudo-instruction* for `PACK rd, rs, zero`) `rev8`(*pseudo-instruction* for `GREVI rd, rs, -8`) `orc.b`(*pseudo-instruction* for `GORCI rd, rs, 7`)
180
  * `Zbs` single-bit instructions: `SBSET[I]` `SBCLR[I]` `SBINV[I]` `SBEXT[I]`
181 53 zero_gravi
  * `Zba` shifted-add instructions: `SH1ADD` `SH2ADD` `SH3ADD`
182 47 zero_gravi
 
183
 
184 51 zero_gravi
#### `C` - Compressed instructions extension
185 47 zero_gravi
 
186 51 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADD[I]` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
187 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
188 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
189 52 zero_gravi
  * System instructions: `C.EBREAK` (requires `Zicsr` extension)
190 40 zero_gravi
  * Pseudo-instructions are not listed
191 2 zero_gravi
 
192 51 zero_gravi
#### `E` - Embedded CPU version extension
193 47 zero_gravi
 
194 52 zero_gravi
  * Reduced register file (only the 16 lowest registers are implemented)
195 2 zero_gravi
 
196 47 zero_gravi
 
197 51 zero_gravi
#### `I` - Base integer instruction set
198 47 zero_gravi
 
199 51 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADD[I]` `SLT[I][U]` `XOR[I]` `OR[I]` `AND[I]` `SLL[I]` `SRL[I]` `SRA[I]` `SUB`
200 47 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
201
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
202
  * System instructions: `ECALL` `EBREAK` `FENCE`
203
  * Pseudo-instructions are not listed
204
 
205
 
206 51 zero_gravi
#### `M` - Integer multiplication and division hardware extension
207 47 zero_gravi
 
208 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
209
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
210 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
211
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
212 2 zero_gravi
 
213 39 zero_gravi
 
214 51 zero_gravi
#### `U` - Privileged architecture - User mode extension
215 44 zero_gravi
 
216 47 zero_gravi
  * Requires `Zicsr` extension
217
  * Privilege levels: `M` (machine mode) + less-privileged `U` (user mode)
218
 
219
 
220 51 zero_gravi
#### `X` - NEORV32-specific CPU extensions
221 47 zero_gravi
 
222
* The NEORV32-specific extensions are always enabled and are indicated via the `X` bit set in the `misa` CSR.
223 48 zero_gravi
* 16 *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
224 47 zero_gravi
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
225
* All undefined/umimplemented/malformed/illegal instructions do raise an illegal instruction exception
226
 
227
 
228 55 zero_gravi
#### `Zfinx` - Single-precision floating-point extension
229 53 zero_gravi
 
230
  * :warning: this extension has not been officially ratified yet!
231
  * :books: more information can be found here: [RISC-V `Zfinx` spec.](https://github.com/riscv/riscv-zfinx)
232 55 zero_gravi
  * Software support via intrinsic library (see [`sw/example/floating_point_test`](https://github.com/stnolting/neorv32/tree/master/sw/example/floating_point_test))
233
  * Fused multiply-add instructions (`F[N]MADD.S` & `F[N)MSUB.S`) are **not** supported!
234
  * Computational instructions: `FADD.S` `FSUB.S` `FMUL.S` `FSGNJ[N/X].S` `FCLASS.S` ~~`FDIV.S`~~ ~~`FSQRT.S`~~
235
  * Comparison instructions: `FMIN.S` `FMAX.S` `FEQ.S` `FLT.S` `FLE.S`
236
  * Conversion instructions: `FCVT.W.S` `FCVT.WU.S` `FCVT.S.W` `FCVT.S.WU`
237
  * Additional CSRs: `fcsr` `frm` `fflags`
238
 
239 53 zero_gravi
 
240 51 zero_gravi
#### `Zicsr` - Privileged architecture - CSR access extension
241 47 zero_gravi
 
242 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
243 51 zero_gravi
  * CSR access instructions: `CSRRW[I]` `CSRRS[I]` `CSRRC[I]`
244 8 zero_gravi
  * System instructions: `MRET` `WFI`
245 40 zero_gravi
  * Pseudo-instructions are not listed
246 42 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[m]` `time[h]` `[m]hpmcounter*[h]`(3..31, configurable) `mcounteren` `mcountinhibit` `mhpmevent*`(3..31, configurable)
247
  * Machine CSRs: `mstatus[h]` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
248 52 zero_gravi
  * Supported (sync.) exceptions (implementing the RISC-V specs):
249 2 zero_gravi
    * Misaligned instruction address
250 51 zero_gravi
    * Instruction access fault (via timeout/error after unacknowledged bus access)
251 2 zero_gravi
    * Illegal instruction
252 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
253 2 zero_gravi
    * Load address misaligned
254 51 zero_gravi
    * Load access fault (via timeout/error after unacknowledged bus access)
255 4 zero_gravi
    * Store address misaligned
256 38 zero_gravi
    * Store access fault (via unacknowledged bus access after timeout)
257 40 zero_gravi
    * Environment call from U-mode (via `ecall` instruction in user mode)
258
    * Environment call from M-mode (via `ecall` instruction in machine mode)
259 55 zero_gravi
  * Supported interrupts:
260
    * RISC-V machine timer interrupt `mti` (via processor-internal MTIME unit *or* external signal)
261
    * RISC-V machine software interrupt `msi` (via external signal)
262
    * RISC-V machine external interrupt `mei` (via external signal)
263
    * 16 fast interrupt requests, 6+1 available for custom usage
264 2 zero_gravi
 
265 15 zero_gravi
 
266 51 zero_gravi
#### `Zifencei` - Privileged architecture - Instruction stream synchronization extension
267 47 zero_gravi
 
268 41 zero_gravi
  * System instructions: `FENCE.I` (among others, used to clear and reload instruction cache)
269 8 zero_gravi
 
270 47 zero_gravi
 
271 51 zero_gravi
#### `PMP` - Privileged architecture - Physical memory protection
272 47 zero_gravi
 
273
  * Requires `Zicsr` extension
274 44 zero_gravi
  * Configurable number of regions (0..63)
275 42 zero_gravi
  * Additional machine CSRs: `pmpcfg*`(0..15) `pmpaddr*`(0..63)
276 2 zero_gravi
 
277 47 zero_gravi
 
278 51 zero_gravi
#### `HPM` - Privileged architecture - Hardware performance monitors
279 47 zero_gravi
 
280
  * Requires `Zicsr` extension
281 44 zero_gravi
  * Configurable number of counters (0..29)
282
  * Additional machine CSRs: `mhpmevent*`(3..31) `[m]hpmcounter*[h]`(3..31)
283 15 zero_gravi
 
284 23 zero_gravi
 
285 52 zero_gravi
### :warning: Non-RISC-V-Compatible Issues and Limitations
286 44 zero_gravi
 
287 40 zero_gravi
* CPU and Processor are BIG-ENDIAN, but this should be no problem as the external memory bus interface provides big- and little-endian configurations
288 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
289 42 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode yet and a minimal granularity of 8 bytes
290 39 zero_gravi
* The `A` extension only implements `lr.w` and `sc.w` instructions yet. However, these instructions are sufficient to emulate all further AMO operations
291 44 zero_gravi
* The `mcause` trap code `0x80000000` (originally reserved in the RISC-V specs) is used to indicate a hardware reset (as "non-maskable interrupt")
292 23 zero_gravi
 
293
 
294
 
295 2 zero_gravi
## FPGA Implementation Results
296
 
297 23 zero_gravi
### NEORV32 CPU
298
 
299
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
300 37 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 20.1** ("balanced implementation"). The timing
301 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
302 42 zero_gravi
of the CPU's generics is assumed (e.g. no physical memory protection, no hardware performance monitors).
303 49 zero_gravi
No constraints were used at all.
304 2 zero_gravi
 
305 55 zero_gravi
Results generated for hardware version [`1.5.3.2`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
306 2 zero_gravi
 
307 55 zero_gravi
| CPU Configuration                                 | LEs  | FFs  | Memory bits | DSPs (9-bit) | f_max   |
308
|:--------------------------------------------------|:----:|:----:|:-----------:|:------------:|:-------:|
309
| `rv32i`                                           |  980 |  409 |        1024 |            0 | 123 MHz |
310
| `rv32i`    + `Zicsr`                              | 1835 |  856 |        1024 |            0 | 124 MHz |
311
| `rv32im`   + `Zicsr`                              | 2443 | 1134 |        1024 |            0 | 124 MHz |
312
| `rv32imc`  + `Zicsr`                              | 2669 | 1149 |        1024 |            0 | 125 MHz |
313
| `rv32imac` + `Zicsr`                              | 2685 | 1156 |        1024 |            0 | 124 MHz |
314
| `rv32imac` + `Zicsr` + `u`                        | 2698 | 1162 |        1024 |            0 | 124 MHz |
315
| `rv32imac` + `Zicsr` + `u` + `Zifencei`           | 2715 | 1162 |        1024 |            0 | 122 MHz |
316
| `rv32imac` + `Zicsr` + `u` + `Zifencei` + `Zfinx` | 4004 | 1812 |        1024 |            0 | 121 MHz |
317 2 zero_gravi
 
318 49 zero_gravi
Setups with enabled "embedded CPU extension" `E` show the same LUT and FF utilization and identical f_max as the according `I` configuration.
319 55 zero_gravi
However, the size of the register file is cut in half.
320 2 zero_gravi
 
321 39 zero_gravi
 
322 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
323
 
324 55 zero_gravi
Results generated for hardware version [`1.5.3.2`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
325 11 zero_gravi
 
326 55 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs (9-bit) |
327
|:----------|:-----------------------------------------------------|----:|----:|------------:|-------------:|
328
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |            0 |
329
| BUSSWITCH | Bus mux for CPU instr. & data interfaces             |  65 |   8 |           0 |            0 |
330
| i-CACHE   | Proc.-int. nstruction cache (default 1x4x64 bytes)   | 234 | 156 |       8 192 |            0 |
331
| CFS       | Custom functions subsystem                           |   - |   - |           - |            - |
332
| DMEM      | Processor-internal data memory (default 8kB)         |   6 |   2 |      65 536 |            0 |
333
| GPIO      | General purpose input/output ports                   |  67 |  65 |           0 |            0 |
334
| IMEM      | Processor-internal instruction memory (default 16kb) |   6 |   2 |     131 072 |            0 |
335
| MTIME     | Machine system timer                                 | 274 | 166 |           0 |            0 |
336
| NCO       | Numerically-controlled oscillator                    | 254 | 226 |           0 |            0 |
337
| NEOLED    | Smart LED Interface (NeoPixel-compatibile) [4x FIFO] | 347 | 309 |           0 |            0 |
338
| PWM       | Pulse-width modulation controller                    |  71 |  69 |           0 |            0 |
339
| SPI       | Serial peripheral interface                          | 138 | 124 |           0 |            0 |
340
| SYSINFO   | System configuration information memory              |  11 |  10 |           0 |            0 |
341
| TRNG      | True random number generator                         | 132 | 105 |           0 |            0 |
342
| TWI       | Two-wire interface                                   |  77 |  46 |           0 |            0 |
343
| UART0/1   | Universal asynchronous receiver/transmitter 0/1      | 176 | 132 |           0 |            0 |
344
| WDT       | Watchdog timer                                       |  60 |  45 |           0 |            0 |
345
| WISHBONE  | External memory interface                            | 129 | 104 |           0 |            0 |
346 2 zero_gravi
 
347
 
348 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
349 6 zero_gravi
 
350 47 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFS_ and no _TRNG_),
351 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
352 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
353 40 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals. The "default" strategy of each toolchain is used.
354 6 zero_gravi
 
355 40 zero_gravi
Results generated for hardware version [`1.4.9.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
356 6 zero_gravi
 
357 55 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | CPU Configuration                              | LUT / LE   | FF / REG   | DSP (9-bit) | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
358
|:--------|:----------------------------------|:-----------------|:---------------------------|:-----------------------------------------------|:-----------|:-----------|:------------|:-------------|:-----------|:---------|--------------:|
359
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 20.1    | `rv32imc` + `u` + `Zicsr` + `Zifencei`         | 3813 (17%) | 1904  (8%) | 0 (0%)      | 231424 (38%) |          - |        - |       119 MHz |
360
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4397 (83%) | 1679 (31%) | 0 (0%)      |            - |   12 (40%) | 4 (100%) | *c* 22.15 MHz |
361
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2465 (12%) | 1912  (5%) | 0 (0%)      |            - |    8 (16%) |        - |   *c* 100 MHz |
362 2 zero_gravi
 
363 23 zero_gravi
**_Notes_**
364 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
365 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
366
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
367 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
368
bootloader to store and automatically boot an application program after reset (both tested successfully).
369 40 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 64kB.
370 42 zero_gravi
* No HPM counters are implemented.
371 2 zero_gravi
 
372 22 zero_gravi
 
373
 
374 2 zero_gravi
## Performance
375
 
376
### CoreMark Benchmark
377
 
378
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
379
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
380
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
381
 
382
~~~
383
**Configuration**
384 45 zero_gravi
Hardware:       32kB IMEM, 16kB DMEM, no caches, 100MHz clock
385 38 zero_gravi
CoreMark:       2000 iterations, MEM_METHOD is MEM_STACK
386
Compiler:       RISCV32-GCC 10.1.0 (rv32i toolchain)
387
Compiler flags: default, see makefile
388
Peripherals:    UART for printing the results
389 2 zero_gravi
~~~
390
 
391 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
392
 
393
| CPU (including `Zicsr`)                     | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
394 34 zero_gravi
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
395 42 zero_gravi
| `rv32i`                                     |    28 756 bytes |        `-O3` |          36.36 |    **0.3636** |
396
| `rv32im`                                    |    27 516 bytes |        `-O3` |          68.97 |    **0.6897** |
397
| `rv32imc`                                   |    22 008 bytes |        `-O3` |          68.97 |    **0.6897** |
398
| `rv32imc` + `FAST_MUL_EN`                   |    22 008 bytes |        `-O3` |          86.96 |    **0.8696** |
399
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    22 008 bytes |        `-O3` |          90.91 |    **0.9091** |
400 2 zero_gravi
 
401 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
402
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
403 2 zero_gravi
 
404 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
405 22 zero_gravi
 
406 34 zero_gravi
 
407 2 zero_gravi
### Instruction Cycles
408
 
409 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
410 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
411
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
412 42 zero_gravi
CPU extensions. *By default* the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
413 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
414
 
415 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
416 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
417 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
418 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
419 2 zero_gravi
 
420 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
421 2 zero_gravi
 
422 42 zero_gravi
| CPU  (including `Zicsr`)                    | Required Clock Cycles | Executed Instructions | Average CPI |
423 34 zero_gravi
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
424 42 zero_gravi
| `rv32i`                                     |         5 595 750 503 |         1 466 028 607 |    **3.82** |
425
| `rv32im`                                    |         2 966 086 503 |           598 651 143 |    **4.95** |
426
| `rv32imc`                                   |         2 981 786 734 |           611 814 918 |    **4.87** |
427
| `rv32imc` + `FAST_MUL_EN`                   |         2 399 234 734 |           611 814 918 |    **3.92** |
428
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 265 135 174 |           611 814 948 |    **3.70** |
429 2 zero_gravi
 
430 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
431
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
432
 
433 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
434 12 zero_gravi
 
435 22 zero_gravi
 
436 31 zero_gravi
 
437 14 zero_gravi
## Top Entities
438 2 zero_gravi
 
439 51 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd),
440
which provides a Wishbone b4-compatoible bus interface.
441 2 zero_gravi
 
442 51 zero_gravi
:information_source: It is recommended to use the processor setup even if you want to **use the CPU in stand-alone mode**. Simply disable all the processor-internal
443
modules via the generics and you will get a "CPU wrapper" that already provides a minimal CPU environment and an external memory interface (like AXI4).
444
This setup also allows to further use the default bootloader and software framework. From this base you can start building your own processor system.
445 14 zero_gravi
 
446 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
447 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
448 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
449 22 zero_gravi
 
450 51 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
451
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected and tie all unused
452
input ports to zero.
453 23 zero_gravi
 
454 51 zero_gravi
**Alternative top entities**, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
455 36 zero_gravi
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
456
 
457
 
458 35 zero_gravi
### AXI4 Connectivity
459 22 zero_gravi
 
460 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
461
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
462
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
463 2 zero_gravi
 
464 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
465
 
466
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
467
 
468
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
469
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
470
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
471
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
472
 
473
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
474
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
475
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
476
 
477
 
478
 
479 2 zero_gravi
## Getting Started
480
 
481
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
482
 
483 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
484 2 zero_gravi
 
485
 
486 51 zero_gravi
### 1. Get the Toolchain
487 2 zero_gravi
 
488 50 zero_gravi
At first you need a **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
489 2 zero_gravi
and build the toolchain by yourself, or you can download a prebuilt one and install it.
490
 
491 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
492 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
493 2 zero_gravi
 
494 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
495 40 zero_gravi
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
496 46 zero_gravi
[:octocat: github.com/stnolting/riscv-gcc-prebuilt](https://github.com/stnolting/riscv-gcc-prebuilt)
497 2 zero_gravi
 
498 45 zero_gravi
You can also use the toolchains provided by [SiFive](https://github.com/sifive/freedom-tools/releases). These are 64-bit toolchains that can also emit 32-bit
499 50 zero_gravi
RISC-V code. They were compiled for more sophisticated machines (`rv32imac`) so make sure the according NEORV32 hardware extensions are enabled.
500 2 zero_gravi
 
501 45 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
502
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
503
 
504 50 zero_gravi
To check everything works fine, make sure `GNU Make` and a native `GCC` compiler are installed.
505
Test the installation of the RISC-V toolchain by navigating to an [example program project](https://github.com/stnolting/neorv32/tree/master/sw/example) like
506
`sw/example/blink_led` and running:
507 45 zero_gravi
 
508 50 zero_gravi
    neorv32/sw/example/blink_led$ make check
509 2 zero_gravi
 
510 50 zero_gravi
 
511 51 zero_gravi
### 2. Download the NEORV32 Project
512 50 zero_gravi
 
513 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
514 12 zero_gravi
 
515 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
516
 
517 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
518
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
519 2 zero_gravi
 
520 22 zero_gravi
 
521 51 zero_gravi
### 3. Create a new FPGA Project
522 22 zero_gravi
 
523 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
524
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
525
 
526 40 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) or one of its
527 51 zero_gravi
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try thing out,
528
you can use the simple [**test setup** (`rtl/top_templates/neorv32_test_setup.vhd`)](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
529 2 zero_gravi
 
530 40 zero_gravi
![neorv32 test setup](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_test_setup.png)
531
 
532
 
533 51 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART0 communications lines, clock, reset and some
534
GPIO output signals are propagated as actual top entity interface signals. Basically, it is a FPGA version of a "hello world" example:
535 23 zero_gravi
 
536 2 zero_gravi
```vhdl
537 9 zero_gravi
  entity neorv32_test_setup is
538
    port (
539
      -- Global control --
540 50 zero_gravi
      clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
541
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
542 9 zero_gravi
      -- GPIO --
543 50 zero_gravi
      gpio_o      : out std_ulogic_vector(7 downto 0); -- parallel output
544
      -- UART0 --
545 51 zero_gravi
      uart0_txd_o : out std_ulogic;       -- UART0 send data
546 50 zero_gravi
      uart0_rxd_i : in  std_ulogic := '0' -- UART0 receive data
547 9 zero_gravi
    );
548
  end neorv32_test_setup;
549 2 zero_gravi
```
550
 
551
 
552 50 zero_gravi
### 4. Compile an Example Program
553 2 zero_gravi
 
554 50 zero_gravi
The NEORV32 project includes several [example program project](https://github.com/stnolting/neorv32/tree/master/sw/example) from
555
which you can start your own application. There are example programs to check out the processor's peripheral like I2C or the true-random number generator.
556 55 zero_gravi
And of course there is also a port of [Conway's Game of Life](https://github.com/stnolting/neorv32/tree/master/sw/example/game_of_life) available.
557 2 zero_gravi
 
558 50 zero_gravi
Simply compile one of these projects using
559 2 zero_gravi
 
560 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
561 2 zero_gravi
 
562 50 zero_gravi
This will create a NEORV32 *executable* `neorv32_exe.bin` in the same folder, which you can upload via the bootloader.
563 23 zero_gravi
 
564
 
565 50 zero_gravi
### 5. Upload the Executable via the Bootloader
566 34 zero_gravi
 
567 50 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the fancy NEORV32 bootloader. The bootloader
568 2 zero_gravi
uses the following default UART configuration:
569
 
570 32 zero_gravi
* 19200 Baud
571
* 8 data bits
572
* 1 stop bit
573
* No parity bits
574
* No transmission / flow control protocol (raw bytes only)
575
* Newline on `\r\n` (carriage return & newline) - also for sent data
576 2 zero_gravi
 
577 51 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable gerated during application compiling and *run* your application.
578 2 zero_gravi
 
579 9 zero_gravi
```
580 43 zero_gravi
<< NEORV32 Bootloader >>
581
 
582 55 zero_gravi
BLDV: Mar 23 2021
583
HWV:  0x01050208
584
CLK:  0x05F5E100
585
USER: 0x10000DE0
586
MISA: 0x40901105
587
ZEXT: 0x00000023
588
PROC: 0x0EFF0037
589
IMEM: 0x00004000 bytes @ 0x00000000
590
DMEM: 0x00002000 bytes @ 0x80000000
591 43 zero_gravi
 
592
Autoboot in 8s. Press key to abort.
593
Aborted.
594
 
595
Available CMDs:
596
 h: Help
597
 r: Restart
598
 u: Upload
599
 s: Store to flash
600
 l: Load from flash
601
 e: Execute
602
CMD:> u
603
Awaiting neorv32_exe.bin... OK
604
CMD:> e
605
Booting...
606
 
607
Blinking LED demo program
608 9 zero_gravi
```
609 2 zero_gravi
 
610 40 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
611 2 zero_gravi
 
612
 
613
 
614 40 zero_gravi
## Contribute/Feedback/Questions
615 2 zero_gravi
 
616 51 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give any kind of feedback, feel free
617
to [open a new issue](https://github.com/stnolting/neorv32/issues), start a new [discussion on GitHub](https://github.com/stnolting/neorv32/discussions)
618
or directly [drop me a line](mailto:stnolting@gmail.com).
619 2 zero_gravi
 
620 51 zero_gravi
Here is a simple guide line if you'd like to contribute to this repository:
621 22 zero_gravi
 
622 51 zero_gravi
0. :star: this repository :wink:
623 40 zero_gravi
1. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
624
2. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
625
3. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
626
4. Create a new remote for the upstream repo: `git remote add upstream https://github.com/stnolting/neorv32`
627
5. Commit your modifications: `git commit -m "Awesome new feature!"`
628
6. Push to the branch: `git push origin awesome_new_feature_branch`
629
7. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
630 2 zero_gravi
 
631 40 zero_gravi
 
632 11 zero_gravi
## Legal
633 2 zero_gravi
 
634 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
635 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
636
 
637 37 zero_gravi
#### Citing
638 11 zero_gravi
 
639 51 zero_gravi
If you are using the NEORV32 or parts of the project in some kind of publication, please cite it as follows:
640 2 zero_gravi
 
641 51 zero_gravi
> S. Nolting, "The NEORV32 RISC-V Processor", github.com/stnolting/neorv32
642 2 zero_gravi
 
643 9 zero_gravi
#### BSD 3-Clause License
644 2 zero_gravi
 
645 42 zero_gravi
Copyright (c) 2021, Stephan Nolting. All rights reserved.
646 2 zero_gravi
 
647
Redistribution and use in source and binary forms, with or without modification, are
648
permitted provided that the following conditions are met:
649
 
650
1. Redistributions of source code must retain the above copyright notice, this list of
651
conditions and the following disclaimer.
652
2. Redistributions in binary form must reproduce the above copyright notice, this list of
653
conditions and the following disclaimer in the documentation and/or other materials
654
provided with the distribution.
655
3. Neither the name of the copyright holder nor the names of its contributors may be used to
656
endorse or promote products derived from this software without specific prior written
657
permission.
658
 
659
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
660
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
661
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
662
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
663
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
664
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
665
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
666
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
667
OF THE POSSIBILITY OF SUCH DAMAGE.
668
 
669
 
670 9 zero_gravi
#### Limitation of Liability for External Links
671
 
672 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
673 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
674
such external content. In all cases, the provider of information of the linked websites
675
is liable for the content and accuracy of the information provided. At the point in time
676
when the links were placed, no infringements of the law were recognisable to us. As soon
677
as an infringement of the law becomes known to us, we will immediately remove the
678
link in question.
679
 
680
 
681 11 zero_gravi
#### Proprietary  Notice
682 9 zero_gravi
 
683 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
684
 
685 45 zero_gravi
"Cyclone" and "Quartus Prime Lite" are trademarks of Intel Corporation.
686 2 zero_gravi
 
687 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
688 11 zero_gravi
 
689 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
690 2 zero_gravi
 
691 52 zero_gravi
"NeoPixel" is a trademark of Adafruit Industries.
692 2 zero_gravi
 
693
 
694 52 zero_gravi
 
695 18 zero_gravi
## Acknowledgements
696 9 zero_gravi
 
697 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
698
 
699 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
700 11 zero_gravi
 
701 43 zero_gravi
Continous integration provided by [:octocat: GitHub Actions](https://github.com/features/actions) and powered by [GHDL](https://github.com/ghdl/ghdl).
702 2 zero_gravi
 
703
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
704
 
705
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
706
 
707 32 zero_gravi
--------
708 2 zero_gravi
 
709 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.