OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [docs/] [datasheet/] [on_chip_debugger.adoc] - Blame information for rev 60

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 zero_gravi
<<<
2
:sectnums:
3
== On-Chip Debugger (OCD)
4
 
5
The NEORV32 Processor features an _on-chip debugger_ (OCD) implementing **execution-based debugging** that is compatible
6
to the **Minimal RISC-V Debug Specification Version 0.13.2**.
7
Please refer to this spec for in-deep information.
8
A copy of the specification is available in `docs/references/riscv-debug-release.pdf`.
9
The NEORV32 OCD provides the following key features:
10
 
11
* JTAG test access port
12
* run-control of the CPU: halting, single-stepping and resuming
13
* executing arbitrary programs during debugging
14
* accessing core registers (direct access to GPRs, indirect access to CSRs via program buffer)
15
* indirect access to the whole processor address space (via program buffer))
16
* compatible to the https://github.com/riscv/riscv-openocd[RISC-V port of OpenOCD];
17
  pre-built binaries can be obtained for example from https://www.sifive.com/software[SiFive]
18
 
19
[NOTE]
20
The OCD requires additional resources for implementation and _might_ also increase the critical path resulting in less
21
performance. If the OCD is not really required for the _final_ implementation, it can be disabled and thus,
22
discarded from implementation. In this case all circuitry of the debugger is completely removed (no impact
23
on area, energy or timing at all).
24
 
25
[TIP]
26
A simple example on how to use NEORV32 on-chip debugger in combination with `OpenOCD` and `gdb`
27
is shown in chapter <<_debugging_using_the_on_chip_debugger>>.
28
 
29
The NEORV32 on-chip debugger complex is based on three hardware modules:
30
 
31
.NEORV32 on-chip debugger complex
32
image::neorv32_ocd_complex.png[align=center]
33
 
34
[start=1]
35
. <<_debug_transport_module_dtm>> (`rtl/core/neorv32_debug_dtm.vhd`): External JTAG access tap to allow an external
36
  adapter to interface with the _debug module(DM)_ using the _debug module interface (dmi)_.
37
. <<_debug_module_dm>> (`rtl/core/neorv32_debug_tm.vhd`): Debugger control unit that is configured by the DTM via the
38
  the _dmi_. Form the CPU's "point of view" this module behaves as a memory-mapped "peripheral" that can be accessed
39
  via the processor-internal bus. The memory-mapped registers provide an internal _data buffer_ for data transfer
40
  from/to the DM, a _code ROM_ containing the "park loop" code,   a _program buffer_ to allow the debugger to
41
  execute small programs defined by the DM and a _status register_ that is used to communicate
42
  _halt_, _resume_ and _execute_ requests/acknowledges from/to the DM.
43
. CPU <<_cpu_debug_mode>> extension (part of`rtl/core/neorv32_cpu_control.vhd`):
44
  This extension provides the "debug execution mode" which executes the "park loop" code from the DM.
45
  The mode also provides additional CSRs.
46
 
47
**Theory of Operation**
48
 
49
When debugging the system using the OCD, the debugger issues a halt request to the CPU (via the CPU's
50
`db_halt_req_i` signal) to make the CPU enter _debug mode_. In this state, the application-defined architectural
51
state of the system/CPU is "frozen" so the debugger can monitor and even modify it.
52
While in debug mode, the CPU executes the "park loop" code from the _code ROM_ of the DM.
53
This park loop implements an endless loop, in which the CPU polls the memory-mapped _status register_ that is
54
controlled by the _debug module (DM)_. The flags of these register are used to communicate _requests_ from
55
the DM and to _acknowledge_ them by the CPU: trigger execution of the program buffer or resume the halted
56
application.
57
 
58
 
59
 
60
<<<
61
// ####################################################################################################################
62
:sectnums:
63
=== Debug Transport Module (DTM)
64
 
65
The debug transport module (VHDL module: `rtl/core/neorv32_debug_dtm.vhd`) provides a JTAG test access port (TAP).
66
The DTM is the first entity in the debug system, which connects and external debugger via JTAG to the next debugging
67
entity: the debug module (DM).
68
External access is provided by the following top-level ports.
69
 
70
.JTAG top level signals
71
[cols="^2,^2,^2,<8"]
72
[options="header",grid="rows"]
73
|=======================
74
| Name          | Width | Direction | Description
75
| `jtag_trst_i` | 1     | in        | TAP reset (low-active); this signal is optional, make sure to pull it _high_ if it is not used
76
| `jtag_tck_i`  | 1     | in        | serial clock
77
| `jtag_tdi_i`  | 1     | in        | serial data input
78
| `jtag_tdo_o`  | 1     | out       | serial data output
79
| `jtag_tms_i`  | 1     | in        | mode select
80
|=======================
81
 
82
.JTAG Clock
83
[IMPORTANT]
84
The actual JTAG clock signal is **not** used as primary clock. Instead it is used to synchronize
85
JTGA accesses, while all internal operations trigger on the system clock. Hence, no additional clock domain is required
86
for integration of this module.
87
However, this constraints the maximal JTAG clock (`jtag_tck_i`) frequency to be less than or equal to
88
1/4 of the system clock (`clk_i`) frequency.
89
 
90
[NOTE]
91
If the on-chip debugger is disabled (_ON_CHIP_DEBUGGER_EN_ = false) the JTAG serial input `jtag_tdi_i` is directly
92
connected to the JTAG serial output `jtag_tdo_o` to maintain the JTAG chain.
93
 
94
[WARNING]
95
The NEORV32 JTAG TAP does not provide a _boundary check_ function (yet?). Hence, physical device pins cannot be accessed.
96
 
97
The DTM uses the "debug module interface (dmi)" to access the actual debug module (DM).
98
These accesses are controlled by TAP-internal registers.
99
Each registers is selected by the JTAG instruction register (`IR`) and accessed through the JTAG data register (`DR`).
100
 
101
[NOTE]
102
The DTM's instruction and data registers can be accessed using OpenOCDs `irscan` and `drscan` commands.
103
The RISC-V port of OpenOCD also provides low-level command (`riscv dmi_read` & `riscv dmi_write`) to access the _dmi_
104
debug module interface.
105
 
106
JTAG access is conducted via the *instruction register* `IR`, which is 5 bit wide, and several *data registers* `DR`
107
with different sizes.
108
The data registers are accessed by writing the according address to the instruction register.
109
The following table shows the available data registers:
110
 
111
.JTAG TAP registers
112
[cols="^2,^2,^2,<8"]
113
[options="header",grid="rows"]
114
|=======================
115
| Address (via `IR`) | Name     | Size [bits] | Description
116
| `00001`            | `IDCODE` | 32          | identifier, default: `0x0CAFE001` (configurable via package's `jtag_tap_idcode_*` constants)
117
| `10000`            | `DTMCS`  | 32          | debug transport module control and status register
118
| `10001`            | `DMI`    | 41          | debug module interface (_dmi_); 7-bit address, 32-bit read/write data, 2-bit operation (`00` = NOP; `10` = write; `01` = read)
119
| others             | `BYPASS` | 1           | default JTAG bypass register
120
|=======================
121
 
122
[INFO]
123
See the https://github.com/riscv/riscv-debug-spec[RISC-V debug specification] for more information regarding the data
124
registers and operations.
125
A local copy can be found in `docs/references`.
126
 
127
 
128
 
129
<<<
130
// ####################################################################################################################
131
:sectnums:
132
=== Debug Module (DM)
133
 
134
According to the RISC-V debug specification, the DM (VHDL module: `rtl/core/neorv32_debug_dm.vhd`)
135
acts as a translation interface between abstract operations issued by the debugger and the platform-specific
136
debugger implementation. It supports the following features (excerpt from the debug spec):
137
 
138
* Gives the debugger necessary information about the implementation.
139
* Allows the hart to be halted and resumed and provides status of the current state.
140
* Provides abstract read and write access to the halted hart's GPRs.
141
* Provides access to a reset signal that allows debugging from the very first instruction after reset.
142
* Provides a mechanism to allow debugging the hart immediately out of reset. (_still experimental_)
143
* Provides a Program Buffer to force the hart to execute arbitrary instructions.
144
* Allows memory access from a hart's point of view.
145
 
146
The NEORV32 DM follows the "Minimal RISC-V External Debug Specification" to provide full debugging
147
capabilities while keeping resource (area) requirements at a minimum level.
148
It implements the **execution based debugging scheme** for a single hart and provides the following
149
hardware features:
150
 
151
* program buffer with 2 entries and implicit `ebreak` instruction afterwards
152
* no _direct_ bus access (indirect bus access via the CPU)
153
* abstract commands: "access register" plus auto-execution
154
* no _dedicated_ halt-on-reset capabilities yet (but can be emulated)
155
 
156
The DM provides two "sides of access": access from the DTM via the _debug module interface (dmi)_ and access from the
157
CPU via the processor-internal bus. From the DTM's point of view, the DM implements a set of <<_dm_registers>> that
158
are used to control and monitor the actual debugging. From the CPU's point of view, the DM implements several
159
memory-mapped registers (within the _normal_ address space) that are used for communicating debugging control
160
and status (<<_dm_cpu_access>>).
161
 
162
 
163
:sectnums:
164
==== DM Registers
165
 
166
The DM is controlled via a set of registers that are accessed via the DTM's _dmi_.
167
The "Minimal RISC-V Debug Specification" requires only a subset of the registers specified in the spec.
168
The following registers are implemented.
169
Write accesses to any other registers are ignored and read accesses will always return zero.
170
Register names that are encapsulated in "( )" are not actually implemented; however, they are listed to explicitly show
171
their functionality.
172
 
173
.Available DM registers
174
[cols="^2,^3,<7"]
175
[options="header",grid="rows"]
176
|=======================
177
| Address | Name           | Description
178
|  `0x04` | `data0`        | Abstract data 0, used for data transfer between debugger and processor
179
|  `0x10` | `dmcontrol`    | Debug module control
180
|  `0x11` | `dmstatus`     | Debug module status
181
|  `0x12` | `hartinfo`     | Hart information
182
|  `0x16` | `abstracts`    | Abstract control and status
183
|  `0x17` | `command`      | Abstract command
184
|  `0x18` | `abstractauto` | Abstract command auto-execution
185
|  `0x1d` | (`nextdm`)     | Base address of _next_ DM; read as zero to indicate there is only _one_ DM
186
|  `0x20` | `progbuf0`     | Program buffer 0
187
|  `0x21` | `progbuf1`     | Program buffer 1
188
|  `0x38` | (`sbcs`)       | System bus access control and status; read as zero to indicate there is no _direct_ system bus access
189
|  `0x40` | `haltsum0`     | Halt summary 0
190
|=======================
191
 
192
 
193
:sectnums!:
194
===== **`data`**
195
 
196
[cols="4,27,>7"]
197
[frame="topbot",grid="none"]
198
|======
199
| 0x04 | **Abstract data 0** | `data0`
200
3+| Reset value: _UNDEFINED_
201
3+| Basic read/write registers to be used with abstract command (for example to read/write data from/to CPU GPRs).
202
|======
203
 
204
 
205
:sectnums!:
206
===== **`dmcontrol`**
207
 
208
[cols="4,27,>7"]
209
[frame="topbot",grid="none"]
210
|======
211
| 0x10 | **Debug module control register** | `dmcontrol`
212
3+| Reset value: 0x00000000
213
3+| Control of the overall debug module and the hart. The following table shows all implemented bits. All remaining bits/bit-fields are configures as "zero" and are
214
read-only. Writing '1' to these bits/fields will be ignored.
215
|======
216
 
217
.`dmcontrol` - debug module control register bits
218
[cols="^1,^2,^1,<8"]
219
[options="header",grid="rows"]
220
|=======================
221
| Bit | Name [RISC-V]  | R/W | Description
222
| 31  | `haltreq`      | -/w | set/clear hart halt request
223
| 30  | `resumereq`    | -/w | request hart to resume
224
| 28  | `ackhavereset` | -/w | write `1` to clear `*havereset` flags
225
|  1  | `ndmreset`     | r/w | put whole processor into reset when `1`
226
|  0  | `dmactive`     | r/w | DM enable; writing `0`-`1` will reset the DM
227
|=======================
228
 
229
 
230
:sectnums!:
231
===== **`dmstatus`**
232
 
233
[cols="4,27,>7"]
234
[frame="topbot",grid="none"]
235
|======
236
| 0x11 | **Debug module status register** | `dmstatus`
237
3+| Reset value: 0x00000000
238
3+| Current status of the overall debug module and the hart. The entire register is read-only.
239
|======
240
 
241
.`dmstatus` - debug module status register bits
242
[cols="^1,^2,<10"]
243
[options="header",grid="rows"]
244
|=======================
245
| Bit   | Name [RISC-V]     | Description
246
| 31:23 | _reserved_        | reserved; always zero
247
| 22    | `impebreak`       | always `1`; indicates an implicit `ebreak` instruction after the last program buffer entry
248
| 21:20 | _reserved_        | reserved; always zero
249
| 19    | `allhavereset`    .2+| `1` when the hart is in reset
250
| 18    | `anyhavereset`
251
| 17    | `allresumeack`    .2+| `1` when the hart has acknowledged a resume request
252
| 16    | `anyresumeack`
253
| 15    | `allnonexistent`  .2+| always zero to indicate the hart is always existent
254
| 14    | `anynonexistent`
255
| 13    | `allunavail`      .2+| `1` when the DM is disabled to indicate the hart is unavailable
256
| 12    | `anyunavail`
257
| 11    | `allrunning`      .2+| `1` when the hart is running
258
| 10    | `anyrunning`
259
|  9    | `allhalted`       .2+| `1` when the hart is halted
260
|  8    | `anyhalted`
261
|  7    | `authenticated`   | always `1`; there is no authentication
262
|  6    | `authbusy`        | always `0`; there is no authentication
263
|  5    | `hasresethaltreq` | always `0`; halt-on-reset is not supported (directly)
264
|  4    | `confstrptrvalid` | always `0`; no configuration string available
265
| 3:0   | `version`         | `0010` - DM is compatible to version 0.13
266
|=======================
267
 
268
 
269
:sectnums!:
270
===== **`hartinfo`**
271
 
272
[cols="4,27,>7"]
273
[frame="topbot",grid="none"]
274
|======
275
| 0x12 | **Hart information** | `hartinfo`
276
3+| Reset value: see below
277
3+| This register gives information about the hart. The entire register is read-only.
278
|======
279
 
280
.`hartinfo` - hart information register bits
281
[cols="^1,^2,<8"]
282
[options="header",grid="rows"]
283
|=======================
284
| Bit   | Name [RISC-V] | Description
285
| 31:24 | _reserved_    | reserved; always zero
286
| 23:20 | `nscratch`    | `0001`, number of `dscratch*` CPU registers = 1
287
| 19:17 | _reserved_    | reserved; always zero
288
| 16    | `dataccess`   | `0`, the `data` registers are shadowed in the hart's address space
289
| 15:12 | `datasize`    | `0001`, number of 32-bit words in the address space dedicated to shadowing the `data` registers = 1
290
| 11:0  | `dataaddr`    | = `dm_data_base_c(11:0)`, signed base address of `data` words (see address map in <<_dm_cpu_access>>)
291
|=======================
292
 
293
 
294
:sectnums!:
295
===== **`abstracts`**
296
 
297
[cols="4,27,>7"]
298
[frame="topbot",grid="none"]
299
|======
300
| 0x16 | **Abstract control and status** | `abstracts`
301
3+| Reset value: see below
302
3+| Command execution info and status.
303
|======
304
 
305
.`abstracts` - abstract control and status register bits
306
[cols="^1,^2,^1,<8"]
307
[options="header",grid="rows"]
308
|=======================
309
| Bit   | Name [RISC-V] | R/W | Description
310
| 31:29 | _reserved_    | r/- | reserved; always zero
311
| 28:24 | `progbufsize` | r/- | `0010`; size of the program buffer (`progbuf`) = 2 entries
312
| 23:11 | _reserved_    | r/- | reserved; always zero
313
| 12    | `busy`        | r/- | `1` when a command is being executed
314
| 11    | _reserved_    | r/- | reserved; always zero
315
| 10:8  | `cmerr`       | r/w | error during command execution (see below); has to be cleared by writing `111`
316
| 7:4   | _reserved_    | r/- | reserved; always zero
317
| 3:0   | `datacount`   | r/- | `0001`; number of implemented `data` registers for abstract commands = 1
318
|=======================
319
 
320
Error codes in `cmderr` (highest priority first):
321
 
322
* `000` - no error
323
* `100` - command cannot be executed since hart is not in expected state
324
* `011` - exception during command execution
325
* `010` - unsupported command
326
* `001` - invalid DM register read/write while command is/was executing
327
 
328
 
329
:sectnums!:
330
===== **`command`**
331
 
332
[cols="4,27,>7"]
333
[frame="topbot",grid="none"]
334
|======
335
| 0x17 | **Abstract command** | `command`
336
3+| Reset value: 0x00000000
337
3+| Writing this register will trigger the execution of an abstract command. New command can only be executed if
338
`cmderr` is zero. The entire register in write-only (reads will return zero).
339
|======
340
 
341
[NOTE]
342
The NEORV32 DM only supports **Access Register** abstract commands. These commands can only access the
343
hart's GPRs (abstract command register index `0x1000` - `0x101f`).
344
 
345
.`command` - abstract command register - "access register" commands only
346
[cols="^1,^2,<8"]
347
[options="header",grid="rows"]
348
|=======================
349
| Bit   | Name [RISC-V]      | Description / required value
350
| 31:24 | `cmdtype`          | `00000000` to indicate "access register" command
351
| 23    | _reserved_         | reserved, has to be `0` when writing
352
| 22:20 | `aarsize`          | `010` to indicate 32-bit accesses
353
| 21    | `aarpostincrement` | `0`, postincrement is not supported
354
| 18    | `postexec`         | if set the program buffer is executed _after_ the command
355
| 17    | `transfer`         | if set the operation in `write` is conducted
356
| 16    | `write`            | `1`: copy `data0` to `[regno]`; `0` copy `[regno]` to `data0`
357
| 15:0  | `regno`            | GPR-access only; has to be `0x1000` - `0x101f`
358
|=======================
359
 
360
 
361
:sectnums!:
362
===== **`abstractauto`**
363
 
364
[cols="4,27,>7"]
365
[frame="topbot",grid="none"]
366
|======
367
| 0x18 | **Abstract command auto-execution** | `abstractauto`
368
3+| Reset value: 0x00000000s
369
3+| Register to configure when a read/write access to a DM repeats execution of the last abstract command.
370
|======
371
 
372
.`abstractauto` - Abstract command auto-execution register bits
373
[cols="^1,^2,^1,<8"]
374
[options="header",grid="rows"]
375
|=======================
376
| Bit   | Name [RISC-V]        | R/W | Description
377
| 17    | `autoexecprogbuf[1]` | r/w | when set reading/writing from/to `progbuf1` will execute `command again`
378
| 16    | `autoexecprogbuf[0]` | r/w | when set reading/writing from/to `progbuf0` will execute `command again`
379
|  0    | `autoexecdata[0]`    | r/w | when set reading/writing from/to `data0` will execute `command again`
380
|=======================
381
 
382
 
383
:sectnums!:
384
===== **`progbuf`**
385
 
386
[cols="4,27,>7"]
387
[frame="topbot",grid="none"]
388
|======
389
| 0x20 | **Program buffer 0** | `progbuf0`
390
| 0x21 | **Program buffer 1** | `progbuf1`
391
3+| Reset value: `NOP`-instruction
392
3+| General purpose program buffer for the DM.
393
|======
394
 
395
 
396
:sectnums!:
397
===== **`haltsum0`**
398
 
399
[cols="4,27,>7"]
400
[frame="topbot",grid="none"]
401
|======
402
| 0x40 | **Halt summary 0** | `haltsum0`
403
3+| Reset value: _UNDEFINED_
404
3+| Bit 0 of this register is set if the hart is halted (all remaining bits are always zero). The entire register is read-only.
405
|======
406
 
407
:sectnums:
408
==== DM CPU Access
409
 
410
From the CPU's point of view, the DM behaves as a memory-mapped peripheral that includes
411
 
412
* a small ROM that contains the code for the "park loop", which is executed when the CPU is _in_ debug mode.
413
* a program buffer populated by the debugger host to execute small programs
414
* a data buffer to transfer data between the processor and the debugger host
415
* a status register to communicate debugging requests
416
 
417
.Park Loop Code Sources
418
[NOTE]
419
The assembly sources of the **park loop code** are available in `sw/ocd-firmware/park_loop.S`. Please note, that these
420
sources are not intended to be changed by the used. Hence, the makefile does not provide an automatic option
421
to compile and "install" the debugger ROM code into the HDL sources and require a manual copy
422
(see `sw/ocd-firmware/README.md`).
423
 
424
The DM uses a total address space of 128 words of the CPU's address space (= 512 bytes) divided into four sections
425
of 32 words (= 128 bytes) each.
426
Please note, that the program buffer, the data buffer and the status register only uses a few effective words in this
427
address space. However, these effective addresses are mirrored to fill up the whole 128 bytes of the section.
428
Hence, any CPU access within this address space will succeed.
429
 
430
.DM CPU access - address map (divided into four sections)
431
[cols="^2,^4,^2,<7"]
432
[options="header",grid="rows"]
433
|=======================
434
| Base address | Name [VHDL package]              | Actual size | Description
435
| `0xfffff800` | `dm_code_base_c` (= `dm_base_c`) |   128 bytes | Code ROM for the "park loop" code
436
| `0xfffff880` | `dm_pbuf_base_c`                 |    16 bytes | Program buffer, provided by DM
437
| `0xfffff900` | `dm_data_base_c`                 |     4 bytes | Data buffer (`dm.data0`)
438
| `0xfffff980` | `dm_sreg_base_c`                 |     4 bytes | Control and status register
439
|=======================
440
 
441
[NOTE]
442
From the CPU's point of view, the DM is mapped to an _"unused"_ address range within the processor's
443
<<_address_space>> right between the bootloader ROM (BOOTROM) and the actual processor-internal IO
444
space at addresses `0xfffff800` - `0xfffff9ff`
445
 
446
When the CPU enters or re-enters (for example via `ebreak` in the DM's program buffer) debug mode, it jumps to
447
the beginning of the DM's "park loop" code ROM at `dm_code_base_c`. This is the _normal entry point_ for the
448
park loop code. If an exception is encountered during debug mode, the CPU jumps to `dm_code_base_c + 4`,
449
which is the _exception entry point_.
450
 
451
**Status Register**
452
 
453
The status register provides a direct communication channel between the CPU executing the park loop and the
454
host-controlled controller of the DM. Note that all bits that can be written by the CPU (acknowledge flags)
455
cause a single-shot (1-cycle) signal to the DM controller and auto-clear (always read as zero).
456
The bits that are driven by the DM controller and are read-only to the CPU and keep their state until the CPU
457
acknowledges the according request.
458
 
459
.DM CPU access - status register
460
[cols="^2,^2,^2,<8"]
461
[options="header",grid="rows"]
462
|=======================
463
| Bit | Name            | CPU access | Description
464
| 0   | `halt_ack`      | -/w        | Set by the CPU to indicate that the CPU is halted and keeps iterating in the park loop
465
| 1   | `resume_req`    | r/-        | Set by the DM to tell the CPU to resume normal operation (leave parking loop and leave debug mode via `dret` instruction)
466
| 2   | `resume_ack`    | -/w        | Set by the CPU to acknowledge that the CPU is now going to leave parking loop & debug mode
467
| 3   | `execute_req`   | r/-        | Set by the DM to tell the CPU to leave debug mode and execute the instructions from the program buffer; CPU will re-enter parking loop afterwards
468
| 4   | `execute_ack`   | -/w        | Set by the CPU to acknowledge that the CPU is now going to execute the program buffer
469
| 5   | `exception_ack` | -/w        | Set by the CPU to inform the DM that an exception occurred during execution of the park loop or during execution of the program buffer
470
|=======================
471
 
472
 
473
 
474
<<<
475
// ####################################################################################################################
476
:sectnums:
477
=== CPU Debug Mode
478
 
479
The NEORV32 CPU Debug Mode `DB` (part of `rtl/core/neorv32_cpu_control.vhd`) is compatible to the "Minimal RISC-V Debug Specification 0.13.2".
480
It is enabled/implemented by setting the CPU generic _CPU_EXTENSION_RISCV_DEBUG_ to "true" (done by setting processor
481
generic _ON_CHIP_DEBUGGER_EN_).
482
It provides a new operation mode called "debug mode".
483
When enabled, three additional CSRs are available (section <<_cpu_debug_mode_csrs>>) and also the "return from debug mode"
484
instruction `dret` is available when the CPU is "in" debug mode.
485
 
486
[IMPORTANT]
487
The CPU _debug mode_ requires the `Zicsr` CPU extension to be implemented (top generic _CPU_EXTENSION_RISCV_Zicsr_ = true).
488
 
489
The CPU debug mode is entered when one of the following events appear:
490
 
491
[start=1]
492
. executing `ebreak` instruction (when `dcsr.ebreakm` is set and in machine mode OR when `dcsr.ebreaku` is set and in user mode)
493
. debug halt request from external DM (via CPU signal `db_halt_req_i`, high-active, triggering on rising-edge)
494
. finished executing of a single instruction while in single-step debugging mode (enabled via `dcsr.step`)
495
 
496
From a hardware point of view, these "entry conditions" are special synchronous (`ebreak` instruction) or asynchronous
497
(single-stepping "interrupt"; halt request "interrupt") traps, that are handled invisibly by the control logic.
498
 
499
Whenever the CPU **enters debug mode** it performs the following operations:
500
 
501
* move `pc` to `dpcs`
502
* copy the hart's current privilege level to `dcsr.prv`
503
* set `dcrs.cause` according to the cause why debug mode is entered
504
* **no update** of `mtval`, `mcause`, `mtval` and `mstatus` CSRs
505
* load the address configured via the CPU _CPU_DEBUG_ADDR_ generic to the `pc` to jump to "debugger park loop" code in the debug module (DM)
506
 
507
When the CPU **is in debug mode** the following things are important:
508
 
509
* while in debug mode, the CPU executes the parking loop and the program buffer provided by the DM if requested
510
* effective CPU privilege level is `machine` mode, PMP is not active
511
* if an exception occurs
512
  * if the exception was caused by any debug-mode entry action the CPU jumps to the _normal entry point_
513
    ( = _CPU_DEBUG_ADDR_) of the park loop again (for example when executing `ebreak` in debug mode)
514
  * for all other exception sources the CPU jumps to the _exception entry point_ ( = _CPU_DEBUG_ADDR_ + 4)
515
    to signal an exception to the DM and restarts the park loop again afterwards
516
* interrupts _including_ non-maskable interrupts are disabled; however, they will be buffered and executed when the CPU has left debug mode
517
* if the DM makes a resume request, the park loop exits and the CPU leaves debug mode (executing `dret`)
518
 
519
Debug mode is left either by executing the `dret` instruction footnote:[`dret` should only be executed _inside_ the debugger
520
"park loop" code (-> code ROM in the debug module (DM).)] (_in_ debug mode) or by performing
521
a hardware reset of the CPU. Executing `dret` outside of debug mode will raise an illegal instruction exception.
522
Whenever the CPU **leaves debug mode** the following things happen:
523
 
524
* set the hart's current privilege level according to `dcsr.prv`
525
* restore `pc` from `dpcs`
526
* resume normal operation at `pc`
527
 
528
 
529
:sectnums:
530
==== CPU Debug Mode CSRs
531
 
532
Two additional CSRs are required by the _Minimal RISC-V Debug Specification_: The debug mode control and status register
533
`dcsr` and the program counter `dpc`. Providing a general purpose scratch register for debug mode (`dscratch0`) allows
534
faster execution of program provided by the debugger, since _one_ general purpose register can be backup-ed and
535
directly used.
536
 
537
[NOTE]
538
The debug-mode control and status registers (CSRs) are only accessible when the CPU is _in_ debug mode.
539
If these CSRs are accessed outside of debug mode (for example when in `machine` mode) an illegal instruction exception
540
is raised.
541
 
542
 
543
:sectnums!:
544
===== **`dcsr`**
545
 
546
[cols="4,27,>7"]
547
[frame="topbot",grid="none"]
548
|======
549
| 0x7b0 | **Debug control and status register** | `dcsr`
550
3+| Reset value: 0x00000000
551
3+| The `dcsr` CSR is compatible to the RISC-V debug spec. It is used to configure debug mode and provides additional status information.
552
The following bits are implemented. The reaming bits are read-only and always read as zero.
553
|======
554
 
555
.Debug control and status register bits
556
[cols="^1,^2,^1,<8"]
557
[options="header",grid="rows"]
558
|=======================
559
| Bit   | Name [RISC-V] | R/W | Event
560
| 31:28 | `xdebugver` | r/- | always `0100` - indicates external debug support exists
561
| 27:16 | -           | r/- | _reserved_, read as zero
562
| 15    | `ebereakm`  | r/w | `ebreak` instructions in `machine` mode will _enter_ debug mode when set
563
| 14    | [line-through]#`ebereakh`# | r/- | `0` - hypervisor mode not supported
564
| 13    | [line-through]#`ebereaks`# | r/- | `0` - supervisor mode not supported
565
| 12    | `ebereaku`  | r/w | `ebreak` instructions in `user` mode will _enter_ debug mode when set
566
| 11    | [line-through]#`stepie`# | r/- | `0` - IRQs are disabled during single-stepping
567
| 10    | [line-through]#`stopcount`# | r/- | `0` - counters increment as usual
568
| 9     | [line-through]#`stoptime`#  | r/- | `0` - timers increment as usual
569
| 8:6   | `cause`     | r/- | cause identifier - why was debug mode entered
570
| 5     | -           | r/- | _reserved_, read as zero
571
| 4     | `mprven`    | r/- | `0` - `mstatus.mprv` is ignored when in debug mode
572
| 3     | `nmip`      | r/- | set when the non-maskable CPU/processor interrupt is pending
573
| 2     | `step`      | r/w | enable single-stepping when set
574
| 1:0   | `prv`       | r/w | CPU privilege level before/after debug mode
575
|=======================
576
 
577
 
578
:sectnums!:
579
===== **`dpc`**
580
 
581
[cols="4,27,>7"]
582
[frame="topbot",grid="none"]
583
|======
584
| 0x7b1 | **Debug program counter** | `dpc`
585
3+| Reset value: _UNDEFINED_
586
3+| The `dcsr` CSR is compatible to the RISC-V debug spec. It is used to store the current program counter when
587
debug mode is entered. The `dret` instruction will return to `dpc` by moving `dpc` to `pc`.
588
|======
589
 
590
 
591
:sectnums!:
592
===== **`dscratch0`**
593
 
594
[cols="4,27,>7"]
595
[frame="topbot",grid="none"]
596
|======
597
| 0x7b2 | **Debug scratch register 0** | `dscratch0`
598
3+| Reset value: _UNDEFINED_
599
3+| The `dscratch0` CSR is compatible to the RISC-V debug spec. It provides a general purpose debug mode-only scratch register.
600
|======
601
 
602
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.