OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [docs/] [datasheet/] [soc_pwm.adoc] - Blame information for rev 60

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 zero_gravi
<<<
2
:sectnums:
3
==== Pulse-Width Modulation Controller (PWM)
4
 
5
[cols="<3,<3,<4"]
6
[frame="topbot",grid="none"]
7
|=======================
8
| Hardware source file(s): | neorv32_pwm.vhd |
9
| Software driver file(s): | neorv32_pwm.c |
10
|                          | neorv32_pwm.h |
11
| Top entity port:         | `pwm_o` | up to 60 PWM output channels (1-bit per channel)
12
| Configuration generics:  | _IO_PWM_NUM_CH_ | number of PWM channels to implement (0..60)
13
| CPU interrupts:          | none |
14
|=======================
15
 
16
The PWM controller implements a pulse-width modulation controller with up to 60 independent channels and 8-
17
bit resolution per channel. The actual number of implemented channels is defined by the _IO_PWM_NUM_CH_ generic.
18
Setting this generic to zero will completely remove the PWM controller from the design.
19
 
20
The PWM controller is based on an 8-bit base counter with a programmable threshold comparators for each channel
21
that defines the actual duty cycle. The controller can be used to drive fancy RGB-LEDs with 24-
22
bit true color, to dim LCD back-lights or even for "analog" control. An external integrator (RC low-pass filter)
23
can be used to smooth the generated "analog" signals.
24
 
25
**Theory of Operation**
26
 
27
The PWM controller is activated by setting the _PWM_CT_EN_ bit in the module's control register _PWM_CT_. When this
28
bit is cleared, the unit is reset and all PWM output channels are set to zero.
29
The 8-bit duty cycle for each channel, which represents the channel's "intensity", is defined via an 8-bit value. The module
30
provides up to 15 duty cycle registers _PWM_DUTY0_ to _PWM_DUTY14_ (depending on the number of implemented channels).
31
Each register contains the duty cycle configuration for 4 consecutive channels. For example, the duty cycle of channel 0
32
is defined via bits 7:0 in _PWM_DUTY0_. The duty cycle of channel 2 is defined via bits 15:0 in _PWM_DUTY0_.
33
Channel 4's duty cycle is defined via bits 7:0 in _PWM_DUTY1_ and so on.
34
 
35
[NOTE]
36
Regardless of the configuration of _IO_PWM_NUM_CH_ all module registers can be accessed without raising an exception.
37
Software can discover the number of available channels by writing 0xff to all duty cycle configuration bytes and
38
reading those values back. The duty-cycle of channels that were not implemented always reads as zero.
39
 
40
Based on the configured duty cycle the according intensity of the channel can be computed by the following formula:
41
 
42
_**Intensity~x~**_ = _PWM_DUTY_CHx_ / (2^8^)
43
 
44
The base frequency of the generated PWM signals is defined by the PWM core clock. This clock is derived
45
from the main processor clock and divided by a prescaler via the 3-bit PWM_CT_PRSCx in the unit's control
46
register. The following prescalers are available:
47
 
48
.PWM prescaler configuration
49
[cols="<4,^1,^1,^1,^1,^1,^1,^1,^1"]
50
[options="header",grid="rows"]
51
|=======================
52
| **`PWM_CT_PRSCx`**          | `0b000` | `0b001` | `0b010` | `0b011` | `0b100` | `0b101` | `0b110` | `0b111`
53
| Resulting `clock_prescaler` |       2 |       4 |       8 |      64 |     128 |    1024 |    2048 |    4096
54
|=======================
55
 
56
The resulting PWM base frequency is defined by:
57
 
58
_**f~PWM~**_ = _f~main~[Hz]_ / (2^8^ * `clock_prescaler`)
59
 
60
<<<
61
.PWM register map
62
[cols="<4,<4,<6,^2,<8"]
63
[options="header",grid="all"]
64
|=======================
65
| Address | Name [C] | Bit(s), Name [C] | R/W | Function
66
.4+<| `0xfffffe80` .4+<| _PWM_CT_ <|`0` _PWM_CT_EN_    ^| r/w | PWM enable
67
                                  <|`1` _PWM_CT_PRSC0_ ^| r/w .3+<| 3-bit clock prescaler select
68
                                  <|`2` _PWM_CT_PRSC1_ ^| r/w
69
                                  <|`3` _PWM_CT_PRSC2_ ^| r/w
70
.4+<| `0xfffffe84` .4+<| _PWM_DUTY0_ <|`7:0`   ^| r/w <| 8-bit duty cycle for channel 0
71
                                     <|`15:8`  ^| r/w <| 8-bit duty cycle for channel 1
72
                                     <|`23:16` ^| r/w <| 8-bit duty cycle for channel 2
73
                                     <|`31:24` ^| r/w <| 8-bit duty cycle for channel 3
74
| ...     | ...      | ...              | r/w | ...
75
.4+<| `0xfffffebc` .4+<| _PWM_DUTY14_ <|`7:0`   ^| r/w <| 8-bit duty cycle for channel 56
76
                                      <|`15:8`  ^| r/w <| 8-bit duty cycle for channel 57
77
                                      <|`23:16` ^| r/w <| 8-bit duty cycle for channel 58
78
                                      <|`31:24` ^| r/w <| 8-bit duty cycle for channel 59
79
|=======================

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.