OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [docs/] [datasheet/] [software.adoc] - Blame information for rev 61

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 60 zero_gravi
:sectnums:
2
== Software Framework
3
 
4
To make actual use of the NEORV32 processor, the project comes with a complete software eco-system. This
5
ecosystem is based on the RISC-V port of the GCC GNU Compiler Collection and consists of the following elementary parts:
6
 
7
[cols="<6,<4"]
8
[grid="none"]
9
|=======================
10
| Application/bootloader start-up code | `sw/common/crt0.S`
11
| Application/bootloader linker script | `sw/common/neorv32.ld`
12
| Core hardware driver libraries | `sw/lib/include/` & `sw/lib/source/`
13
| Makefiles | e.g. `sw/example/blink_led/makefile`
14
| Auxiliary tool for generating NEORV32 executables | `sw/image_gen/`
15
| Default bootloader | `sw/bootloader/bootloader.c`
16
|=======================
17
 
18
Last but not least, the NEORV32 ecosystem provides some example programs for testing the hardware, for
19
illustrating the usage of peripherals and for general getting in touch with the project (`sw/example`).
20
 
21
// ####################################################################################################################
22
:sectnums:
23
=== Compiler Toolchain
24
 
25
The toolchain for this project is based on the free RISC-V GCC-port. You can find the compiler sources and
26
build instructions on the official RISC-V GNU toolchain GitHub page: https://github.com/riscv/riscv-gnutoolchain.
27
 
28
The NEORV32 implements a 32-bit base integer architecture (`rv32i`) and a 32-bit integer and soft-float ABI
29
(ilp32), so make sure you build an according toolchain.
30
 
31
Alternatively, you can download my prebuilt `rv32i/e` toolchains for 64-bit x86 Linux from: https://github.com/stnolting/riscv-gcc-prebuilt
32
 
33
The default toolchain prefix used by the project's makefiles is (can be changed in the makefiles): **`riscv32-unknown-elf`**
34
 
35
[TIP]
36
More information regarding the toolchain (building from scratch or downloading the prebuilt ones)
37 61 zero_gravi
can be found in the user guides' section https://stnolting.github.io/neorv32/ug/#_software_toolchain_setup[Software Toolchain Setup].
38 60 zero_gravi
 
39
 
40
 
41
<<<
42
// ####################################################################################################################
43
:sectnums:
44
=== Core Libraries
45
 
46
The NEORV32 project provides a set of C libraries that allows an easy usage of the processor/CPU features.
47
Just include the main NEORV32 library file in your application's source file(s):
48
 
49
[source,c]
50
----
51
#include 
52
----
53
 
54
Together with the makefile, this will automatically include all the processor's header files located in
55
`sw/lib/include` into your application. The actual source files of the core libraries are located in
56
`sw/lib/source` and are automatically included into the source list of your software project. The following
57
files are currently part of the NEORV32 core library:
58
 
59
[cols="<3,<4,<8"]
60
[options="header",grid="rows"]
61
|=======================
62
| C source file | C header file | Description
63
| -                  | `neorv32.h`            | main NEORV32 definitions and library file
64
| `neorv32_cfs.c`    | `neorv32_cfs.h`        | HW driver (stub)footnote:[This driver file only represents a stub, since the real CFS drivers are defined by the actual CFS implementation.] functions for the custom functions subsystem
65
| `neorv32_cpu.c`    | `neorv32_cpu.h`        | HW driver functions for the NEORV32 **CPU**
66
| `neorv32_gpio.c`   | `neorv32_gpio.h`       | HW driver functions for the **GPIO**
67
| -                  | `neorv32_intrinsics.h` | macros for custom intrinsics/instructions
68
| `neorv32_mtime.c`  | `neorv32_mtime.h`      | HW driver functions for the **MTIME**
69
| `neorv32_neoled.c` | `neorv32_neoled.h`     | HW driver functions for the **NEOLED**
70
| `neorv32_pwm.c`    | `neorv32_pwm.h`        | HW driver functions for the **PWM**
71
| `neorv32_rte.c`    | `neorv32_rte.h`        | NEORV32 **runtime environment** and helpers
72
| `neorv32_spi.c`    | `neorv32_spi.h`        | HW driver functions for the **SPI**
73
| `neorv32_trng.c`   | `neorv32_trng.h`       | HW driver functions for the **TRNG**
74
| `neorv32_twi.c`    | `neorv32_twi.h`        | HW driver functions for the **TWI**
75
| `neorv32_uart.c`   | `neorv32_uart.h`       | HW driver functions for the **UART0** and **UART1**
76
| `neorv32_wdt.c`    | `neorv32_wdt.h`        | HW driver functions for the **WDT**
77
|=======================
78
 
79
.Documentation
80
[TIP]
81
All core library software sources are highly documented using _doxygen_. See section <>.
82
The documentation is automatically built and deployed to GitHub pages by the CI workflow (:https://stnolting.github.io/neorv32/sw/files.html).
83
 
84
 
85
 
86
 
87
<<<
88
// ####################################################################################################################
89
:sectnums:
90
=== Application Makefile
91
 
92
Application compilation is based on **GNU makefiles**. Each project in the `sw/example` folder features
93
a makefile. All these makefiles are identical. When creating a new project, copy an existing project folder or
94
at least the makefile to your new project folder. I suggest to create new projects also in `sw/example` to keep
95
the file dependencies. Of course, these dependencies can be manually configured via makefiles variables
96
when your project is located somewhere else.
97
 
98
Before you can use the makefiles, you need to install the RISC-V GCC toolchain. Also, you have to add the
99
installation folder of the compiler to your system's `PATH` variable. More information can be found in chapter
100
<<_lets_get_it_started>>.
101
 
102
The makefile is invoked by simply executing make in your console:
103
 
104
[source,bash]
105
----
106
neorv32/sw/example/blink_led$ make
107
----
108
 
109
:sectnums:
110
==== Targets
111
 
112
Just executing `make` will show the help menu showing all available targets. The following targets are
113
available:
114
 
115
[cols="<3,<15"]
116
[grid="none"]
117
|=======================
118
| `help` | Show a short help text explaining all available targets.
119
| `check` | Check the compiler toolchain. You should run this target at least once after installing the toolchain.
120 61 zero_gravi
| `info` | Show the makefile configuration (see section <<_configuration>>).
121 60 zero_gravi
| `exe` | Compile all sources and generate application executable for upload via bootloader.
122
| `install` | Compile all sources, generate executable (via exe target) for upload via bootloader and generate and install IMEM VHDL initialization image file `rtl/core/neorv32_application_image.vhd`.
123
| `all` | Execute `exe` and `install`.
124
| `clean` | Remove all generated files in the current folder.
125
| `clean_all` | Remove all generated files in the current folder and also removes the compiled core libraries and the compiled image generator tool.
126
| `bootloader` | Compile all sources, generate executable and generate and install BOOTROM VHDL initialization image file `rtl/core/neorv32_bootloader_image.vhd`. This target modifies the ROM origin and length in the linker script by setting the `make_bootloader` define.
127
| `upload` | Upload NEORV32 executable to the bootloader via serial port
128
|=======================
129
 
130
[TIP]
131
An assembly listing file (`main.asm`) is created by the compilation flow for further analysis or debugging purpose.
132
 
133
:sectnums:
134
==== Configuration
135
 
136
The compilation flow is configured via variables right at the beginning of the makefile:
137
 
138
[source,makefile]
139
----
140
# *****************************************************************************
141
# USER CONFIGURATION
142
# *****************************************************************************
143
# User's application sources (*.c, *.cpp, *.s, *.S); add additional files here
144
APP_SRC ?= $(wildcard ./*.c) $(wildcard ./*.s) $(wildcard ./*.cpp) $(wildcard ./*.S)
145
# User's application include folders (don't forget the '-I' before each entry)
146
APP_INC ?= -I .
147
# User's application include folders - for assembly files only (don't forget the '-I' before each
148
entry)
149
ASM_INC ?= -I .
150
# Optimization
151
EFFORT ?= -Os
152
# Compiler toolchain
153
RISCV_TOOLCHAIN ?= riscv32-unknown-elf
154
# CPU architecture and ABI
155
MARCH ?= -march=rv32i
156
MABI  ?= -mabi=ilp32
157
# User flags for additional configuration (will be added to compiler flags)
158
USER_FLAGS ?=
159
# Serial port for executable upload via bootloer
160
COM_PORT ?= /dev/ttyUSB0
161
# Relative or absolute path to the NEORV32 home folder
162
NEORV32_HOME ?= ../../..
163
# *****************************************************************************
164
----
165
 
166
[cols="<3,<10"]
167
[grid="none"]
168
|=======================
169
| _APP_SRC_         | The source files of the application (`*.c`, `*.cpp`, `*.S` and `*.s` files are allowed; file of these types in the project folder are automatically added via wildcards). Additional files can be added; separated by white spaces
170
| _APP_INC_         | Include file folders; separated by white spaces; must be defined with `-I` prefix
171
| _ASM_INC_         | Include file folders that are used only for the assembly source files (`*.S`/`*.s`).
172
| _EFFORT_          | Optimization level, optimize for size (`-Os`) is default; legal values: `-O0`, `-O1`, `-O2`, `-O3`, `-Os`
173
| _RISCV_TOOLCHAIN_ | The toolchain prefix to be used; follows the naming convention "architecture-vendor-output"
174
| _MARCH_           | The targetd RISC-V architecture/ISA. Only `rv32` is supported by the NEORV32. Enable compiler support of optional CPU extension by adding the according extension letter (e.g. `rv32im` for _M_ CPU extension). See section <<_enabling_risc_v_cpu_extensions>>.
175
| _MABI_            | The default 32-bit integer ABI.
176
| _USER_FLAGS_      | Additional flags that will be forwarded to the compiler tools
177
| _NEORV32_HOME_    | Relative or absolute path to the NEORV32 project home folder. Adapt this if the makefile/project is not in the project's `sw/example folder`.
178
| _COM_PORT_        | Default serial port for executable upload to bootloader.
179
|=======================
180
 
181
:sectnums:
182
==== Default Compiler Flags
183
 
184
The following default compiler flags are used for compiling an application. These flags are defined via the
185
`CC_OPTS` variable. Custom flags can be appended via the `USER_FLAGS` variable to the `CC_OPTS` variable.
186
 
187
[cols="<3,<9"]
188
[grid="none"]
189
|=======================
190
| `-Wall` | Enable all compiler warnings.
191
| `-ffunction-sections` | Put functions and data segment in independent sections. This allows a code optimization as dead code and unused data can be easily removed.
192
| `-nostartfiles` | Do not use the default start code. The makefiles use the NEORV32-specific start-up code instead (`sw/common/crt0.S`).
193
| `-Wl,--gc-sections` | Make the linker perform dead code elimination.
194
| `-lm` | Include/link with `math.h`.
195
| `-lc` | Search for the standard C library when linking.
196
| `-lgcc` | Make sure we have no unresolved references to internal GCC library subroutines.
197
| `-mno-fdiv` | Use builtin software functions for floating-point divisions and square roots (since the according instructions are not supported yet).
198
| `-falign-functions=4` .4+| Force a 32-bit alignment of functions and labels (branch/jump/call targets). This increases performance as it simplifies instruction fetch when using the C extension. As a drawback this will also slightly increase the program code.
199
| `-falign-labels=4`
200
| `-falign-loops=4`
201
| `-falign-jumps=4`
202
|=======================
203
 
204
[TIP]
205
The makefile configuration variables can be (re-)defined directly when invoking the makefile. For
206
example: `$ make MARCH=-march=rv32ic clean_all exe`
207
 
208
 
209
 
210
<<<
211
// ####################################################################################################################
212
:sectnums:
213
=== Executable Image Format
214
 
215 61 zero_gravi
In order to generate a file, which can be executed by the processor, all source files have to be compiler, linked
216
and packed into a final _executable_.
217 60 zero_gravi
 
218 61 zero_gravi
:sectnums:
219
==== Linker Script
220
 
221
When all the application sources have been compiled, they need to be _linked_ in order to generate a unified
222
program file. For this purpose the makefile uses the NEORV32-specific linker script `sw/common/neorv32.ld` for
223
linking all object files that were generated during compilation.
224
 
225
The linker script defines three memory _sections_: `rom`, `ram` and `iodev`. Each section provides specific
226
access _attributes_: read access (`r`), write access (`w`) and executable (`x`).
227
 
228
.Linker memory sections - general
229 60 zero_gravi
[cols="<2,^1,<7"]
230
[options="header",grid="rows"]
231
|=======================
232
| Memory section  | Attributes | Description
233 61 zero_gravi
| `ram`           | `rwx`      | Data memory address space (processor-internal/external DMEM)
234
| `rom`           | `rx`       | Instruction memory address space (processor-internal/external IMEM) _or_ internal bootloader ROM
235
| `iodev`         | `rw`       | Processor-internal memory-mapped IO/peripheral devices address space
236 60 zero_gravi
|=======================
237
 
238 61 zero_gravi
These sections are defined right at the beginning of the linker script:
239 60 zero_gravi
 
240 61 zero_gravi
.Linker memory sections - cut-out from linker script `neorv32.ld`
241
[source,c]
242
----
243
MEMORY
244
{
245
  ram  (rwx) : ORIGIN = 0x80000000, LENGTH = DEFINED(make_bootloader) ? 512 : 8*1024
246
  rom   (rx) : ORIGIN = DEFINED(make_bootloader) ? 0xFFFF0000 : 0x00000000, LENGTH = DEFINED(make_bootloader) ? 32K : 2048M
247
  iodev (rw) : ORIGIN = 0xFFFFFE00, LENGTH = 512
248
}
249
----
250 60 zero_gravi
 
251 61 zero_gravi
Each memory section provides a _base address_ `ORIGIN` and a _size_ `LENGTH`. The base address and size of the `iodev` section is
252
fixed and must not be altered. The base addresses and sizes of the `ram` and `rom` regions correspond to the total available instruction
253
and data memory address space (see section <<_address_space_layout>>).
254 60 zero_gravi
 
255 61 zero_gravi
[IMPORTANT]
256
`ORIGIN` of the `ram` section has to be always identical to the processor's `dspace_base_c` hardware configuration. Additionally,
257
`ORIGIN` of the `rom` section has to be always identical to the processor's `ispace_base_c` hardware configuration.
258
 
259
The sizes of `ram` section has to be equal to the size of the **physical available data instruction memory**. For example, if the processor
260
setup only uses processor-internal DMEM (<<_mem_int_dmem_en>> = _true_ and no external data memory attached) the `LENGTH` parameter of
261
this memory section has to be equal to the size configured by the <<_mem_int_dmem_size>> generic.
262
 
263
The sizes of `rom` section is a little bit more complicated. The default linker script configuration assumes a _maximum_ of 2GB _logical_
264
memory space, which is also the default configuration of the processor's hardware instruction memory address space. This size _does not_ have
265
to reflect the _actual_ physical size of the instruction memory (internal IMEM and/or processor-external memory). It just provides a maximum
266
limit. When uploading new executable via the bootloader, the bootloader itself checks if sufficient _physical_ instruction memory is available.
267
If a new executable is embedded right into the internal-IMEM the synthesis tool will check, if the configured instruction memory size
268
is sufficient (e.g., via the <<_mem_int_imem_size>> generic).
269
 
270
[IMPORTANT]
271
The `rom` region uses a conditional assignment (via the `make_bootloader` symbol) for `ORIGIN` and `LENGTH` that is used to place
272
"normal executable" (i.e. for the IMEM) or "the bootloader image" to their according memories. +
273
 +
274
The `ram` region also uses a conditional assignment (via the `make_bootloader` symbol) for `LENGTH`. When compiling the bootloader
275
(`make_bootloader` symbol is set) the generated bootloader will only use the _first_ 512 bytes of the data address space. This is
276
a fall-back to ensure the bootloader can operate independently of the actual _physical_ data memory size.
277
 
278
The linker maps all the regions from the compiled object files into four final sections: `.text`, `.rodata`, `.data` and `.bss`.
279
These four regions contain everything required for the application to run:
280
 
281
.Linker memory regions
282 60 zero_gravi
[cols="<1,<9"]
283
[options="header",grid="rows"]
284
|=======================
285
| Region    | Description
286
| `.text`   | Executable instructions generated from the start-up code and all application sources.
287
| `.rodata` | Constants (like strings) from the application; also the initial data for initialized variables.
288
| `.data`   | This section is required for the address generation of fixed (= global) variables only.
289
| `.bss`    | This section is required for the address generation of dynamic memory constructs only.
290
|=======================
291
 
292
The `.text` and `.rodata` sections are mapped to processor's instruction memory space and the `.data` and
293 61 zero_gravi
`.bss` sections are mapped to the processor's data memory space. Finally, the `.text`, `.rodata` and `.data`
294
sections are extracted and concatenated into a single file `main.bin`.
295 60 zero_gravi
 
296
 
297 61 zero_gravi
:sectnums:
298
==== Executable Image Generator
299 60 zero_gravi
 
300 61 zero_gravi
The `main.bin` file is packed by the NEORV32 image generator (`sw/image_gen`) to generate the final executable file.
301
 
302
[NOTE]
303
The sources of the image generator are automatically compiled when invoking the makefile.
304
 
305
The image generator can generate three types of executables, selected by a flag when calling the generator:
306
 
307 60 zero_gravi
[cols="<1,<9"]
308
[grid="none"]
309
|=======================
310
| `-app_bin` | Generates an executable binary file `neorv32_exe.bin` (for UART uploading via the bootloader).
311
| `-app_img` | Generates an executable VHDL memory initialization image for the processor-internal IMEM. This option generates the `rtl/core/neorv32_application_image.vhd` file.
312
| `-bld_img` | Generates an executable VHDL memory initialization image for the processor-internal BOOT ROM. This option generates the `rtl/core/neorv32_bootloader_image.vhd` file.
313
|=======================
314
 
315 61 zero_gravi
All these options are managed by the makefile. The _normal application_ compilation flow will generate the `neorv32_exe.bin`
316
executable to be upload via UART to the NEORV32 bootloader.
317 60 zero_gravi
 
318 61 zero_gravi
The image generator add a small header to the `neorv32_exe.bin` executable, which consists of three 32-bit words located right at the
319
beginning of the file. The first word of the executable is the signature word and is always `0x4788cafe`. Based on this word the bootloader
320
can identify a valid image file. The next word represents the size in bytes of the actual program
321 60 zero_gravi
image in bytes. A simple "complement" checksum of the actual program image is given by the third word. This
322
provides a simple protection against data transmission or storage errors.
323
 
324
 
325 61 zero_gravi
:sectnums:
326
==== Start-Up Code (crt0)
327 60 zero_gravi
 
328 61 zero_gravi
The CPU and also the processor require a minimal start-up and initialization code to bring the CPU (and the SoC)
329
into a stable and initialized state and to initialize the C runtime environment before the actual application can be executed.
330
This start-up code is located in `sw/common/crt0.S` and is automatically linked _every_ application program
331
and placed right before the actual application code so it gets executed right after reset.
332 60 zero_gravi
 
333 61 zero_gravi
The `crt0.S` start-up performs the following operations:
334 60 zero_gravi
 
335 61 zero_gravi
[start=1]
336
. Initialize all integer registers `x1 - x31` (or jsut `x1 - x15` when using the `E` CPU extension) to a defined value.
337
. Initialize the global pointer `gp` and the stack pointer `sp` according to the `.data` segment layout provided by the linker script.
338
. Initialize all CPU core CSRs and also install a default "dummy" trap handler for _all_ traps. This handler catches all traps during the early boot phase.
339
. Clear IO area: Write zero to all memory-mapped registers within the IO region (`iodev` section). If certain devices have not been implemented, a bus access fault exception will occur. This exception is captured by the dummy trap handler.
340
. Clear the `.bss` section defined by the linker script.
341
. Copy read-only data from the `.text` section to the `.data` section to set initialized variables.
342
. Call the application's `main` function (with _no_ arguments: `argc` = `argv` = 0).
343
. If the `main` function returns `crt0` can call an "after-main handler" (see below)
344
. If there is no after-main handler or after returning from the after-main handler the processor goes to an endless sleep mode (using a simple loop or via the `wfi` instruction if available).
345 60 zero_gravi
 
346 61 zero_gravi
:sectnums:
347
===== After-Main Handler
348
 
349
If the application's `main()` function actually returns, an _after main handler_ can be executed. This handler can be a normal function
350
since the C runtime is still available when executed. If this handler uses any kind of peripheral/IO modules make sure these are
351
already initialized within the application or you have to initialize them _inside_ the handler.
352
 
353
.After-main handler - function prototype
354
[source,c]
355
----
356
int __neorv32_crt0_after_main(int32_t return_code);
357
----
358
 
359
The function has exactly one argument (`return_code`) that provides the _return value_ of the application's main function.
360
For instance, this variable contains _-1_ if the main function returned with `return -1;`. The return value of the
361
`__neorv32_crt0_after_main` function is irrelevant as there is no further "software instance" executed afterwards that can check this.
362
However, the on-chip debugger could still evaluate the return value of the after-main handler.
363
 
364
A simple `printf` can be used to inform the user when the application main function return
365
(this example assumes that UART0 has been already properly configured in the actual application):
366
 
367
.After-main handler - example
368
[source,c]
369
----
370
int __neorv32_crt0_after_main(int32_t return_code) {
371
 
372
  neorv32_uart_printf("Main returned with code: %i\n", return_code);
373
  return 0;
374
}
375
----
376
 
377
 
378 60 zero_gravi
<<<
379
// ####################################################################################################################
380
:sectnums:
381
=== Bootloader
382
 
383 61 zero_gravi
[NOTE]
384
This section illustrated the **default** bootloader from the repository. The bootloader can be customized
385
to target application-specific scenarios. See User Guide section
386
https://stnolting.github.io/neorv32/ug/#_customizing_the_internal_bootloader[Customizing the Internal Bootloader]
387
for more information.
388 60 zero_gravi
 
389 61 zero_gravi
The default NEORV32 bootloader (source code `sw/bootloader/bootloader.c`) provides a build-in firmware that
390
allows to upload new application executables via UART at every time and to optionally store/boot them to/from
391
an external SPI flash. It features a simple "automatic boot" feature that will try to fetch an executable
392
from SPI flash if there is _no_ UART user interaction. This allows to build processor setup with
393
non-volatile application storage, which can be updated at any time.
394 60 zero_gravi
 
395 61 zero_gravi
The bootloader is only implemented if the <<_int_bootloader_en>> generic is _true_. This will
396
select the <<_indirect_boot>> boot configuration.
397 60 zero_gravi
 
398 61 zero_gravi
.Hardware requirements of the _default_ NEORV32 bootloader
399 60 zero_gravi
[IMPORTANT]
400 61 zero_gravi
**REQUIRED**: The bootloader requires the CSR access CPU extension (<<_cpu_extension_riscv_zicsr>> generic is _true_)
401
and at least 512 bytes of data memory (processor-internal DMEM or external DMEM). +
402
 +
403
_RECOMMENDED_: For user interaction via UART (like uploading executables) the primary UART (UART0) has to be
404
implemented (<<_io_uart0_en>> generic is _true_). Without UART the bootloader does not make much sense. However, auto-boot
405
via SPI is still supported but the bootloader should be customized (see User Guide) for this purpose. +
406
 +
407
_OPTIONAL_: The default bootloader uses bit 0 of the GPIO output port as "heart beat" and status LED if the
408
GPIO controller is implemented (<<_io_gpio_en>> generic is _true_). +
409
 +
410
_OPTIONAL_: The MTIME machine timer (<<_io_mtime_en>> generic is _true_) and the SPI controller
411
(<<_io_spi_en>> generic is _true_) are required in order to use the bootloader's auto-boot feature
412
(automatic boot from external SPI flash if there is no user interaction via UART).
413 60 zero_gravi
 
414
To interact with the bootloader, connect the primary UART (UART0) signals (`uart0_txd_o` and
415
`uart0_rxd_o`) of the processor's top entity via a serial port (-adapter) to your computer (hardware flow control is
416
not used so the according interface signals can be ignored.), configure your
417
terminal program using the following settings and perform a reset of the processor.
418
 
419
Terminal console settings (`19200-8-N-1`):
420
 
421
* 19200 Baud
422
* 8 data bits
423
* no parity bit
424
* 1 stop bit
425
* newline on `\r\n` (carriage return, newline)
426
* no transfer protocol / control flow protocol - just the raw byte stuff
427
 
428
The bootloader uses the LSB of the top entity's `gpio_o` output port as high-active status LED (all other
429
output pin are set to low level by the bootloader). After reset, this LED will start blinking at ~2Hz and the
430
following intro screen should show up in your terminal:
431
 
432
[source]
433
----
434
<< NEORV32 Bootloader >>
435
 
436
BLDV: Mar 23 2021
437
HWV:  0x01050208
438
CLK:  0x05F5E100
439
MISA: 0x40901105
440
ZEXT: 0x00000023
441
PROC: 0x0EFF0037
442
IMEM: 0x00004000 bytes @ 0x00000000
443
DMEM: 0x00002000 bytes @ 0x80000000
444
 
445
Autoboot in 8s. Press key to abort.
446
----
447
 
448
This start-up screen also gives some brief information about the bootloader and several system configuration parameters:
449
 
450
[cols="<2,<15"]
451
[grid="none"]
452
|=======================
453
| `BLDV` | Bootloader version (built date).
454
| `HWV`  | Processor hardware version (from the `mimpid` CSR) in BCD format (example: `0x01040606` = v1.4.6.6).
455
| `CLK`  | Processor clock speed in Hz (via the SYSINFO module, from the _CLOCK_FREQUENCY_ generic).
456
| `MISA` | CPU extensions (from the `misa` CSR).
457
| `ZEXT` | CPU sub-extensions (from the `mzext` CSR)
458
| `PROC` | Processor configuration (via the SYSINFO module, from the IO_* and MEM_* configuration generics).
459
| `IMEM` | IMEM memory base address and size in byte (from the _MEM_INT_IMEM_SIZE_ generic).
460
| `DMEM` | DMEM memory base address and size in byte (from the _MEM_INT_DMEM_SIZE_ generic).
461
|=======================
462
 
463
Now you have 8 seconds to press any key. Otherwise, the bootloader starts the auto boot sequence. When
464
you press any key within the 8 seconds, the actual bootloader user console starts:
465
 
466
[source]
467
----
468
<< NEORV32 Bootloader >>
469
 
470
BLDV: Mar 23 2021
471
HWV:  0x01050208
472
CLK:  0x05F5E100
473
USER: 0x10000DE0
474
MISA: 0x40901105
475
ZEXT: 0x00000023
476
PROC: 0x0EFF0037
477
IMEM: 0x00004000 bytes @ 0x00000000
478
DMEM: 0x00002000 bytes @ 0x80000000
479
 
480
Autoboot in 8s. Press key to abort.
481
Aborted.
482
 
483
Available commands:
484
h: Help
485
r: Restart
486
u: Upload
487
s: Store to flash
488
l: Load from flash
489
e: Execute
490
CMD:>
491
----
492
 
493
The auto-boot countdown is stopped and now you can enter a command from the list to perform the
494
corresponding operation:
495
 
496
* `h`: Show the help text (again)
497
* `r`: Restart the bootloader and the auto-boot sequence
498
* `u`: Upload new program executable (`neorv32_exe.bin`) via UART into the instruction memory
499
* `s`: Store executable to SPI flash at `spi_csn_o(0)`
500
* `l`: Load executable from SPI flash at `spi_csn_o(0)`
501
* `e`: Start the application, which is currently stored in the instruction memory (IMEM)
502
 
503
A new executable can be uploaded via UART by executing the `u` command. After that, the executable can be directly
504
executed via the `e` command. To store the recently uploaded executable to an attached SPI flash press `s`. To
505
directly load an executable from the SPI flash press `l`. The bootloader and the auto-boot sequence can be
506
manually restarted via the `r` command.
507
 
508
[TIP]
509
The CPU is in machine level privilege mode after reset. When the bootloader boots an application,
510
this application is also started in machine level privilege mode.
511
 
512 61 zero_gravi
[TIP]
513
For detailed information on using an SPI flash for application storage see User Guide section
514
https://stnolting.github.io/neorv32/ug/#_programming_an_external_spi_flash_via_the_bootloader[Programming an External SPI Flash via the Bootloader].
515 60 zero_gravi
 
516
 
517
:sectnums:
518
==== Auto Boot Sequence
519 61 zero_gravi
When you reset the NEORV32 processor, the bootloader waits 8 seconds for a UART console input before it
520 60 zero_gravi
starts the automatic boot sequence. This sequence tries to fetch a valid boot image from the external SPI
521 61 zero_gravi
flash, connected to SPI chip select `spi_csn_o(0)`. If a valid boot image is found that can be successfully
522
transferred into the instruction memory, it is automatically started. If no SPI flash is detected or if there
523
is no valid boot image found, and error code will be shown.
524 60 zero_gravi
 
525
 
526
:sectnums:
527
==== Bootloader Error Codes
528
 
529
If something goes wrong during bootloader operation, an error code is shown. In this case the processor
530
stalls, a bell command and one of the following error codes are send to the terminal, the bootloader status
531 61 zero_gravi
LED is permanently activated and the system must be manually reset.
532 60 zero_gravi
 
533
[cols="<2,<13"]
534
[grid="rows"]
535
|=======================
536
| **`ERROR_0`** | If you try to transfer an invalid executable (via UART or from the external SPI flash), this error message shows up. There might be a transfer protocol configuration error in the terminal program. See section <<_uploading_and_starting_of_a_binary_executable_image_via_uart>> for more information. Also, if no SPI flash was found during an auto-boot attempt, this message will be displayed.
537
| **`ERROR_1`** | Your program is way too big for the internal processor’s instructions memory. Increase the memory size or reduce (optimize!) your application code.
538
| **`ERROR_2`** | This indicates a checksum error. Something went wrong during the transfer of the program image (upload via UART or loading from the external SPI flash). If the error was caused by a UART upload, just try it again. When the error was generated during a flash access, the stored image might be corrupted.
539
| **`ERROR_3`** | This error occurs if the attached SPI flash cannot be accessed. Make sure you have the right type of flash and that it is properly connected to the NEORV32 SPI port using chip select #0.
540
|=======================
541
 
542
 
543
 
544
<<<
545
// ####################################################################################################################
546
:sectnums:
547
=== NEORV32 Runtime Environment
548
 
549
The NEORV32 provides a minimal runtime environment (RTE) that takes care of a stable
550
and _safe_ execution environment by handling _all_ traps (including interrupts).
551
 
552
[NOTE]
553
Using the RTE is **optional**. The RTE provides a simple and comfortable way of delegating traps while making sure that all traps (even though they are not
554
explicitly used by the application) are handled correctly. Performance-optimized applications or embedded operating systems should not use the RTE for delegating traps.
555
 
556
When execution enters the application's `main` function, the actual runtime environment is responsible for catching all implemented exceptions
557
and interrupts. To activate the NEORV32 RTE execute the following function:
558
 
559
[source,c]
560
----
561
void neorv32_rte_setup(void);
562
----
563
 
564
This setup initializes the `mtvec` CSR, which provides the base entry point for all trap
565
handlers. The address stored to this register reflects the first-level exception handler provided by the
566
NEORV32 RTE. Whenever an exception or interrupt is triggered, this first-level handler is called.
567
 
568
The first-level handler performs a complete context save, analyzes the source of the exception/interrupt and
569
calls the according second-level exception handler, which actually takes care of the exception/interrupt
570
handling. For this, the RTE manages a private look-up table to store the addresses of the according trap
571
handlers.
572
 
573
After the initial setup of the RTE, each entry in the trap handler's look-up table is initialized with a debug
574
handler, that outputs detailed hardware information via the **primary UART (UART0)** when triggered. This
575
is intended as a fall-back for debugging or for accidentally-triggered exceptions/interrupts.
576
For instance, an illegal instruction exception catched by the RTE debug handler might look like this in the UART0 output:
577
 
578
[source]
579
----
580
 Illegal instruction @0x000002d6, MTVAL=0x00001537 
581
----
582
 
583
To install the **actual application's trap handlers** the NEORV32 RTE provides functions for installing and
584
un-installing trap handler for each implemented exception/interrupt source.
585
 
586
[source,c]
587
----
588
int neorv32_rte_exception_install(uint8_t id, void (*handler)(void));
589
----
590
 
591
[cols="<5,<12"]
592
[options="header",grid="rows"]
593
|=======================
594
| ID name [C] | Description / trap causing entry
595
| `RTE_TRAP_I_MISALIGNED` | instruction address misaligned
596
| `RTE_TRAP_I_ACCESS`     | instruction (bus) access fault
597
| `RTE_TRAP_I_ILLEGAL`    | illegal instruction
598
| `RTE_TRAP_BREAKPOINT`   | breakpoint (`ebreak` instruction)
599
| `RTE_TRAP_L_MISALIGNED` | load address misaligned
600
| `RTE_TRAP_L_ACCESS`     | load (bus) access fault
601
| `RTE_TRAP_S_MISALIGNED` | store address misaligned
602
| `RTE_TRAP_S_ACCESS`     | store (bus) access fault
603
| `RTE_TRAP_MENV_CALL`    | environment call from machine mode (`ecall` instruction)
604
| `RTE_TRAP_UENV_CALL`    | environment call from user mode (`ecall` instruction)
605
| `RTE_TRAP_MTI`          | machine timer interrupt
606
| `RTE_TRAP_MEI`          | machine external interrupt
607
| `RTE_TRAP_MSI`          | machine software interrupt
608
| `RTE_TRAP_FIRQ_0` : `RTE_TRAP_FIRQ_15` | fast interrupt channel 0..15
609
|=======================
610
 
611
When installing a custom handler function for any of these exception/interrupts, make sure the function uses
612
**no attributes** (especially no interrupt attribute!), has no arguments and no return value like in the following
613
example:
614
 
615
[source,c]
616
----
617
void handler_xyz(void) {
618
 
619
  // handle exception/interrupt...
620
}
621
----
622
 
623
[WARNING]
624
Do NOT use the `((interrupt))` attribute for the application exception handler functions! This
625
will place an `mret` instruction to the end of it making it impossible to return to the first-level
626
exception handler of the RTE, which will cause stack corruption.
627
 
628
Example: Installation of the MTIME interrupt handler:
629
 
630
[source,c]
631
----
632
neorv32_rte_exception_install(EXC_MTI, handler_xyz);
633
----
634
 
635
To remove a previously installed exception handler call the according un-install function from the NEORV32
636
runtime environment. This will replace the previously installed handler by the initial debug handler, so even
637
un-installed exceptions and interrupts are further captured.
638
 
639
[source,c]
640
----
641
int neorv32_rte_exception_uninstall(uint8_t id);
642
----
643
 
644
Example: Removing the MTIME interrupt handler:
645
 
646
[source,c]
647
----
648
neorv32_rte_exception_uninstall(EXC_MTI);
649
----
650
 
651
[TIP]
652
More information regarding the NEORV32 runtime environment can be found in the doxygen
653
software documentation (also available online at https://stnolting.github.io/neorv32/sw/files.html[GitHub pages]).

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.