OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 11 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <cpu_test/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_application_image is
8
 
9
  type application_init_image_t is array (0 to 65535) of std_ulogic_vector(31 downto 0);
10
  constant application_init_image : application_init_image_t := (
11 11 zero_gravi
    00000000 => x"00930001",
12
    00000001 => x"81130000",
13
    00000002 => x"01930000",
14
    00000003 => x"82130001",
15
    00000004 => x"02930001",
16
    00000005 => x"83130002",
17
    00000006 => x"03930002",
18
    00000007 => x"84130003",
19
    00000008 => x"04930003",
20
    00000009 => x"85130004",
21
    00000010 => x"05930004",
22
    00000011 => x"86130005",
23
    00000012 => x"06930005",
24
    00000013 => x"87130006",
25
    00000014 => x"07930006",
26
    00000015 => x"88130007",
27
    00000016 => x"08930007",
28
    00000017 => x"89130008",
29
    00000018 => x"09930008",
30
    00000019 => x"8a130009",
31
    00000020 => x"0a930009",
32
    00000021 => x"8b13000a",
33
    00000022 => x"0b93000a",
34
    00000023 => x"8c13000b",
35
    00000024 => x"0c93000b",
36
    00000025 => x"8d13000c",
37
    00000026 => x"0d93000c",
38
    00000027 => x"8e13000d",
39
    00000028 => x"0e93000d",
40
    00000029 => x"8f13000e",
41
    00000030 => x"0f93000e",
42
    00000031 => x"0001000f",
43
    00000032 => x"fc5025f3",
44
    00000033 => x"fc702673",
45
    00000034 => x"00c58133",
46
    00000035 => x"04131171",
47
    00000036 => x"01970001",
48
    00000037 => x"81938000",
49
    00000038 => x"05977ee1",
50
    00000039 => x"85930000",
51
    00000040 => x"90730925",
52
    00000041 => x"25f33055",
53
    00000042 => x"0617fc50",
54
    00000043 => x"06130000",
55
    00000044 => x"069314c6",
56
    00000045 => x"c1900200",
57
    00000046 => x"16fd0591",
58
    00000047 => x"fed01de3",
59
    00000048 => x"f8000593",
60
    00000049 => x"0005a023",
61
    00000050 => x"1de30591",
62
    00000051 => x"55fdfeb0",
63
    00000052 => x"f8b02c23",
64
    00000053 => x"f8b02e23",
65
    00000054 => x"80818593",
66
    00000055 => x"82c18613",
67
    00000056 => x"00c5d663",
68
    00000057 => x"00058023",
69
    00000058 => x"bfdd0585",
70
    00000059 => x"00001597",
71
    00000060 => x"0dc58593",
72
    00000061 => x"80000617",
73
    00000062 => x"f8c60613",
74
    00000063 => x"80818693",
75
    00000064 => x"00d65963",
76
    00000065 => x"00058703",
77
    00000066 => x"00e60023",
78
    00000067 => x"06050585",
79
    00000068 => x"0513bfc5",
80
    00000069 => x"05930000",
81
    00000070 => x"00ef0000",
82
    00000071 => x"00730e00",
83
    00000072 => x"70731050",
84
    00000073 => x"00733004",
85
    00000074 => x"a0011050",
86
    00000075 => x"f8810113",
87
    00000076 => x"c20ec006",
88
    00000077 => x"c616c412",
89
    00000078 => x"ca1ec81a",
90
    00000079 => x"ce26cc22",
91
    00000080 => x"d22ed02a",
92
    00000081 => x"d636d432",
93
    00000082 => x"da3ed83a",
94
    00000083 => x"de46dc42",
95
    00000084 => x"c2cec0ca",
96
    00000085 => x"c6d6c4d2",
97
    00000086 => x"cadec8da",
98
    00000087 => x"cee6cce2",
99
    00000088 => x"d2eed0ea",
100
    00000089 => x"d6f6d4f2",
101
    00000090 => x"dafed8fa",
102
    00000091 => x"342022f3",
103
    00000092 => x"00f2f313",
104
    00000093 => x"20f3030a",
105
    00000094 => x"9306fc50",
106
    00000095 => x"341020f3",
107
    00000096 => x"0002cd63",
108
    00000097 => x"00009283",
109
    00000098 => x"00300393",
110
    00000099 => x"0072f2b3",
111
    00000100 => x"96630089",
112
    00000101 => x"00890072",
113
    00000102 => x"0313a019",
114
    00000103 => x"22830403",
115
    00000104 => x"11710003",
116
    00000105 => x"80e7c006",
117
    00000106 => x"40820002",
118
    00000107 => x"90730111",
119
    00000108 => x"40823410",
120
    00000109 => x"42224192",
121
    00000110 => x"434242b2",
122
    00000111 => x"446243d2",
123
    00000112 => x"550244f2",
124
    00000113 => x"56225592",
125
    00000114 => x"574256b2",
126
    00000115 => x"586257d2",
127
    00000116 => x"490658f2",
128
    00000117 => x"4a264996",
129
    00000118 => x"4b464ab6",
130
    00000119 => x"4c664bd6",
131
    00000120 => x"5d064cf6",
132
    00000121 => x"5e265d96",
133
    00000122 => x"5f465eb6",
134
    00000123 => x"01135fd6",
135
    00000124 => x"00730781",
136
    00000125 => x"80823020",
137
    00000126 => x"71790000",
138
    00000127 => x"d422d606",
139
    00000128 => x"d04ad226",
140
    00000129 => x"00efce4e",
141
    00000130 => x"0a630870",
142
    00000131 => x"00ef0c05",
143
    00000132 => x"066325b0",
144
    00000133 => x"00ef0c05",
145
    00000134 => x"02637de0",
146
    00000135 => x"65150c05",
147
    00000136 => x"45814601",
148
    00000137 => x"b0050513",
149
    00000138 => x"06f000ef",
150
    00000139 => x"557d55fd",
151
    00000140 => x"7ce000ef",
152
    00000141 => x"05136505",
153
    00000142 => x"00efce85",
154
    00000143 => x"00ef0db0",
155
    00000144 => x"23997ae0",
156
    00000145 => x"05136505",
157
    00000146 => x"00efd045",
158
    00000147 => x"45810cb0",
159
    00000148 => x"67c00593",
160
    00000149 => x"21d54501",
161
    00000150 => x"842a4581",
162
    00000151 => x"68a00593",
163
    00000152 => x"29e14505",
164
    00000153 => x"942a4581",
165
    00000154 => x"69800593",
166
    00000155 => x"21f14509",
167
    00000156 => x"942a4581",
168
    00000157 => x"6a600593",
169
    00000158 => x"21c1450d",
170
    00000159 => x"942a4581",
171
    00000160 => x"6b400593",
172
    00000161 => x"29554511",
173
    00000162 => x"942a4581",
174
    00000163 => x"6c200593",
175
    00000164 => x"21654515",
176
    00000165 => x"942a4581",
177
    00000166 => x"6d000593",
178
    00000167 => x"29714519",
179
    00000168 => x"942a4581",
180
    00000169 => x"6de00593",
181
    00000170 => x"2941451d",
182
    00000171 => x"942a4581",
183
    00000172 => x"6ec00593",
184
    00000173 => x"2151452d",
185
    00000174 => x"942a4581",
186
    00000175 => x"6fa00593",
187
    00000176 => x"29a5454d",
188
    00000177 => x"942a4581",
189
    00000178 => x"71600593",
190
    00000179 => x"21b5455d",
191
    00000180 => x"cc11942a",
192
    00000181 => x"05136505",
193
    00000182 => x"00efd385",
194
    00000183 => x"50b203b0",
195
    00000184 => x"54925422",
196
    00000185 => x"49f25902",
197
    00000186 => x"61454501",
198
    00000187 => x"45818082",
199
    00000188 => x"70800593",
200
    00000189 => x"00ef4501",
201
    00000190 => x"842a17d0",
202
    00000191 => x"6505c509",
203
    00000192 => x"d4850513",
204
    00000193 => x"6073bfd9",
205
    00000194 => x"65053004",
206
    00000195 => x"d6050513",
207
    00000196 => x"8201a423",
208
    00000197 => x"001000ef",
209
    00000198 => x"0ff0000f",
210
    00000199 => x"0000100f",
211
    00000200 => x"8281a783",
212
    00000201 => x"28078663",
213
    00000202 => x"05136505",
214
    00000203 => x"00efd705",
215
    00000204 => x"49017e60",
216
    00000205 => x"65054405",
217
    00000206 => x"d7c50513",
218
    00000207 => x"8201a423",
219
    00000208 => x"7d4000ef",
220
    00000209 => x"301027f3",
221
    00000210 => x"91638b91",
222
    00000211 => x"47892807",
223
    00000212 => x"a7039782",
224
    00000213 => x"57b78281",
225
    00000214 => x"87931234",
226
    00000215 => x"10636787",
227
    00000216 => x"650526f7",
228
    00000217 => x"d7850513",
229
    00000218 => x"7ac000ef",
230
    00000219 => x"a4230905",
231
    00000220 => x"49898201",
232
    00000221 => x"05136505",
233
    00000222 => x"00efdb85",
234
    00000223 => x"079379a0",
235
    00000224 => x"9782f000",
236
    00000225 => x"8281a703",
237
    00000226 => x"aabb17b7",
238
    00000227 => x"13378793",
239
    00000228 => x"24f71563",
240
    00000229 => x"05136505",
241
    00000230 => x"00efd785",
242
    00000231 => x"090577a0",
243
    00000232 => x"05136505",
244
    00000233 => x"a423dc85",
245
    00000234 => x"00ef8201",
246
    00000235 => x"07b776a0",
247
    00000236 => x"87938000",
248
    00000237 => x"80e70807",
249
    00000238 => x"a7030007",
250
    00000239 => x"27b78281",
251
    00000240 => x"87930199",
252
    00000241 => x"116303b7",
253
    00000242 => x"650522f7",
254
    00000243 => x"d7850513",
255
    00000244 => x"744000ef",
256
    00000245 => x"65050905",
257
    00000246 => x"dd850513",
258
    00000247 => x"8201a423",
259
    00000248 => x"734000ef",
260
    00000249 => x"a7039002",
261
    00000250 => x"27b78281",
262
    00000251 => x"87931232",
263
    00000252 => x"12633307",
264
    00000253 => x"650520f7",
265
    00000254 => x"d7850513",
266
    00000255 => x"718000ef",
267
    00000256 => x"65050905",
268
    00000257 => x"de850513",
269
    00000258 => x"8201a423",
270
    00000259 => x"708000ef",
271
    00000260 => x"00202003",
272
    00000261 => x"8281a703",
273
    00000262 => x"babcd7b7",
274
    00000263 => x"ccc78793",
275
    00000264 => x"1ef71263",
276
    00000265 => x"05136505",
277
    00000266 => x"00efd785",
278
    00000267 => x"09056ea0",
279
    00000268 => x"05136505",
280
    00000269 => x"a423df85",
281
    00000270 => x"00ef8201",
282
    00000271 => x"27836da0",
283
    00000272 => x"c63ef000",
284
    00000273 => x"8281a703",
285
    00000274 => x"def737b7",
286
    00000275 => x"8aa78793",
287
    00000276 => x"1cf71163",
288
    00000277 => x"05136505",
289
    00000278 => x"00efd785",
290
    00000279 => x"09056ba0",
291
    00000280 => x"05136505",
292
    00000281 => x"a423e085",
293
    00000282 => x"00ef8201",
294
    00000283 => x"21236aa0",
295
    00000284 => x"a7030000",
296
    00000285 => x"27b78281",
297
    00000286 => x"8793ff09",
298
    00000287 => x"11637dd7",
299
    00000288 => x"65051af7",
300
    00000289 => x"d7850513",
301
    00000290 => x"68c000ef",
302
    00000291 => x"65050905",
303
    00000292 => x"e1850513",
304
    00000293 => x"8201a423",
305
    00000294 => x"67c000ef",
306
    00000295 => x"f0002023",
307
    00000296 => x"8281a703",
308
    00000297 => x"200917b7",
309
    00000298 => x"77778793",
310
    00000299 => x"18f71163",
311
    00000300 => x"05136505",
312
    00000301 => x"00efd785",
313
    00000302 => x"090565e0",
314
    00000303 => x"05136505",
315
    00000304 => x"a423e285",
316
    00000305 => x"00ef8201",
317
    00000306 => x"007364e0",
318
    00000307 => x"a7030000",
319
    00000308 => x"27b78281",
320
    00000309 => x"87935566",
321
    00000310 => x"11632447",
322
    00000311 => x"650516f7",
323
    00000312 => x"d7850513",
324
    00000313 => x"630000ef",
325
    00000314 => x"65050905",
326
    00000315 => x"e3850513",
327
    00000316 => x"8201a423",
328
    00000317 => x"620000ef",
329
    00000318 => x"34446073",
330
    00000319 => x"00010001",
331
    00000320 => x"8281a703",
332
    00000321 => x"cdece7b7",
333
    00000322 => x"ea978793",
334
    00000323 => x"12f71f63",
335
    00000324 => x"05136505",
336
    00000325 => x"00efd785",
337
    00000326 => x"09055fe0",
338
    00000327 => x"05136505",
339
    00000328 => x"a423e485",
340
    00000329 => x"00ef8201",
341
    00000330 => x"45015ee0",
342
    00000331 => x"00ef4581",
343
    00000332 => x"00014d00",
344
    00000333 => x"00010001",
345
    00000334 => x"a7030001",
346
    00000335 => x"07b78281",
347
    00000336 => x"87930013",
348
    00000337 => x"1963a537",
349
    00000338 => x"650510f7",
350
    00000339 => x"d7850513",
351
    00000340 => x"5c4000ef",
352
    00000341 => x"65050905",
353
    00000342 => x"e5850513",
354
    00000343 => x"8201a423",
355
    00000344 => x"5b4000ef",
356
    00000345 => x"00ef4501",
357
    00000346 => x"09ad7600",
358
    00000347 => x"00010001",
359
    00000348 => x"00010001",
360
    00000349 => x"8281a703",
361
    00000350 => x"eef337b7",
362
    00000351 => x"08878793",
363
    00000352 => x"0ef71363",
364
    00000353 => x"05136505",
365
    00000354 => x"00efd785",
366
    00000355 => x"090558a0",
367
    00000356 => x"86a26505",
368
    00000357 => x"85ce864a",
369
    00000358 => x"e6850513",
370
    00000359 => x"8201a423",
371
    00000360 => x"574000ef",
372
    00000361 => x"0c041863",
373
    00000362 => x"05136505",
374
    00000363 => x"b335e8c5",
375
    00000364 => x"05136505",
376
    00000365 => x"00efd785",
377
    00000366 => x"490555e0",
378
    00000367 => x"6505bbad",
379
    00000368 => x"d7050513",
380
    00000369 => x"550000ef",
381
    00000370 => x"b3550405",
382
    00000371 => x"05136505",
383
    00000372 => x"00efd8c5",
384
    00000373 => x"49855420",
385
    00000374 => x"6505bb71",
386
    00000375 => x"d7050513",
387
    00000376 => x"534000ef",
388
    00000377 => x"bb6d0405",
389
    00000378 => x"05136505",
390
    00000379 => x"00efd705",
391
    00000380 => x"04055260",
392
    00000381 => x"6505b3cd",
393
    00000382 => x"d7050513",
394
    00000383 => x"518000ef",
395
    00000384 => x"b5010405",
396
    00000385 => x"05136505",
397
    00000386 => x"00efd705",
398
    00000387 => x"040550a0",
399
    00000388 => x"6505b505",
400
    00000389 => x"d7050513",
401
    00000390 => x"4fc000ef",
402
    00000391 => x"b5890405",
403
    00000392 => x"05136505",
404
    00000393 => x"00efd705",
405
    00000394 => x"04054ee0",
406
    00000395 => x"6505b58d",
407
    00000396 => x"d7050513",
408
    00000397 => x"4e0000ef",
409
    00000398 => x"b5490405",
410
    00000399 => x"05136505",
411
    00000400 => x"00efd705",
412
    00000401 => x"04054d20",
413
    00000402 => x"6505b54d",
414
    00000403 => x"d7050513",
415
    00000404 => x"4c4000ef",
416
    00000405 => x"b5d90405",
417
    00000406 => x"05136505",
418
    00000407 => x"00efd705",
419
    00000408 => x"04054b60",
420
    00000409 => x"6505bdcd",
421
    00000410 => x"d7050513",
422
    00000411 => x"4a8000ef",
423
    00000412 => x"bf390405",
424
    00000413 => x"05136505",
425
    00000414 => x"b185e985",
426
    00000415 => x"123457b7",
427
    00000416 => x"67878793",
428
    00000417 => x"82f1a423",
429
    00000418 => x"17b78082",
430
    00000419 => x"8793aabb",
431
    00000420 => x"a4231337",
432
    00000421 => x"808282f1",
433
    00000422 => x"019927b7",
434
    00000423 => x"03b78793",
435
    00000424 => x"82f1a423",
436
    00000425 => x"27b78082",
437
    00000426 => x"87931232",
438
    00000427 => x"a4233307",
439
    00000428 => x"808282f1",
440
    00000429 => x"babcd7b7",
441
    00000430 => x"ccc78793",
442
    00000431 => x"82f1a423",
443
    00000432 => x"37b78082",
444
    00000433 => x"8793def7",
445
    00000434 => x"a4238aa7",
446
    00000435 => x"808282f1",
447
    00000436 => x"ff0927b7",
448
    00000437 => x"7dd78793",
449
    00000438 => x"82f1a423",
450
    00000439 => x"17b78082",
451
    00000440 => x"87932009",
452
    00000441 => x"a4237777",
453
    00000442 => x"808282f1",
454
    00000443 => x"556627b7",
455
    00000444 => x"24478793",
456
    00000445 => x"82f1a423",
457
    00000446 => x"e7b78082",
458
    00000447 => x"8793cdec",
459
    00000448 => x"a423ea97",
460
    00000449 => x"808282f1",
461
    00000450 => x"eef337b7",
462
    00000451 => x"08878793",
463
    00000452 => x"82f1a423",
464
    00000453 => x"07b78082",
465
    00000454 => x"87930013",
466
    00000455 => x"557da537",
467
    00000456 => x"a42355fd",
468
    00000457 => x"ace182f1",
469
    00000458 => x"6505c509",
470
    00000459 => x"ea850513",
471
    00000460 => x"6505a6d5",
472
    00000461 => x"eb050513",
473
    00000462 => x"1141bfe5",
474
    00000463 => x"c226c422",
475
    00000464 => x"479dc606",
476
    00000465 => x"84ae842a",
477
    00000466 => x"02a7f363",
478
    00000467 => x"006347ad",
479
    00000468 => x"47cd02f5",
480
    00000469 => x"00f50b63",
481
    00000470 => x"056347dd",
482
    00000471 => x"47ed02f5",
483
    00000472 => x"1c634505",
484
    00000473 => x"452d00f4",
485
    00000474 => x"450da011",
486
    00000475 => x"2573297d",
487
    00000476 => x"040afc50",
488
    00000477 => x"c004942a",
489
    00000478 => x"40b24501",
490
    00000479 => x"44924422",
491
    00000480 => x"80820141",
492
    00000481 => x"b7dd451d",
493
    00000482 => x"71796505",
494
    00000483 => x"eb850513",
495
    00000484 => x"d422d606",
496
    00000485 => x"d04ad226",
497
    00000486 => x"cc52ce4e",
498
    00000487 => x"c85aca56",
499
    00000488 => x"65052e95",
500
    00000489 => x"eec50513",
501
    00000490 => x"25f326b5",
502
    00000491 => x"6505f140",
503
    00000492 => x"f0c50513",
504
    00000493 => x"65052685",
505
    00000494 => x"f2450513",
506
    00000495 => x"29732ea1",
507
    00000496 => x"4b01f130",
508
    00000497 => x"4a2559e1",
509
    00000498 => x"44914a8d",
510
    00000499 => x"033b0433",
511
    00000500 => x"04614501",
512
    00000501 => x"00895433",
513
    00000502 => x"0ff47413",
514
    00000503 => x"1c8a6f63",
515
    00000504 => x"0513c511",
516
    00000505 => x"75130305",
517
    00000506 => x"26210ff5",
518
    00000507 => x"03040513",
519
    00000508 => x"0ff57513",
520
    00000509 => x"05632cfd",
521
    00000510 => x"0513015b",
522
    00000511 => x"2cd502e0",
523
    00000512 => x"15e30b05",
524
    00000513 => x"25f3fc9b",
525
    00000514 => x"6505f130",
526
    00000515 => x"f3850513",
527
    00000516 => x"65052611",
528
    00000517 => x"f4450513",
529
    00000518 => x"27f32cf5",
530
    00000519 => x"83f93010",
531
    00000520 => x"1a079463",
532
    00000521 => x"05136505",
533
    00000522 => x"24edf585",
534
    00000523 => x"05136505",
535
    00000524 => x"24cdf785",
536
    00000525 => x"301025f3",
537
    00000526 => x"49054401",
538
    00000527 => x"17b344e9",
539
    00000528 => x"8fed0089",
540
    00000529 => x"0513cb99",
541
    00000530 => x"75130414",
542
    00000531 => x"c62e0ff5",
543
    00000532 => x"0513244d",
544
    00000533 => x"2c710200",
545
    00000534 => x"040545b2",
546
    00000535 => x"fe9411e3",
547
    00000536 => x"05136505",
548
    00000537 => x"247df8c5",
549
    00000538 => x"05136505",
550
    00000539 => x"245df945",
551
    00000540 => x"fc002573",
552
    00000541 => x"02057513",
553
    00000542 => x"25f33d45",
554
    00000543 => x"6505fc10",
555
    00000544 => x"fa850513",
556
    00000545 => x"65052c41",
557
    00000546 => x"fc450513",
558
    00000547 => x"25f32461",
559
    00000548 => x"2673fc60",
560
    00000549 => x"6505fc40",
561
    00000550 => x"fe450513",
562
    00000551 => x"65052ca5",
563
    00000552 => x"00c50513",
564
    00000553 => x"25732c85",
565
    00000554 => x"8911fc00",
566
    00000555 => x"65053db5",
567
    00000556 => x"02450513",
568
    00000557 => x"25732485",
569
    00000558 => x"8921fc00",
570
    00000559 => x"25f335b5",
571
    00000560 => x"2673fc70",
572
    00000561 => x"6505fc50",
573
    00000562 => x"03c50513",
574
    00000563 => x"650524a1",
575
    00000564 => x"06450513",
576
    00000565 => x"25732481",
577
    00000566 => x"8941fc00",
578
    00000567 => x"650535b1",
579
    00000568 => x"07c50513",
580
    00000569 => x"25732c05",
581
    00000570 => x"8905fc00",
582
    00000571 => x"65053d35",
583
    00000572 => x"09450513",
584
    00000573 => x"25732405",
585
    00000574 => x"8909fc00",
586
    00000575 => x"65053535",
587
    00000576 => x"0ac50513",
588
    00000577 => x"24732c01",
589
    00000578 => x"6505fc00",
590
    00000579 => x"0c050513",
591
    00000580 => x"65412411",
592
    00000581 => x"3d098d61",
593
    00000582 => x"05136505",
594
    00000583 => x"2add0cc5",
595
    00000584 => x"00020537",
596
    00000585 => x"35098d61",
597
    00000586 => x"05136505",
598
    00000587 => x"22dd0d85",
599
    00000588 => x"00040537",
600
    00000589 => x"3bcd8d61",
601
    00000590 => x"05136505",
602
    00000591 => x"2ad90e45",
603
    00000592 => x"00080537",
604
    00000593 => x"33cd8d61",
605
    00000594 => x"05136505",
606
    00000595 => x"22d90f05",
607
    00000596 => x"00100537",
608
    00000597 => x"3bc98d61",
609
    00000598 => x"05136505",
610
    00000599 => x"2a5d0fc5",
611
    00000600 => x"00200537",
612
    00000601 => x"33c98d61",
613
    00000602 => x"05136505",
614
    00000603 => x"225d1085",
615
    00000604 => x"00400537",
616
    00000605 => x"3b4d8d61",
617
    00000606 => x"05136505",
618
    00000607 => x"2a591145",
619
    00000608 => x"00800537",
620
    00000609 => x"334d8d61",
621
    00000610 => x"05136505",
622
    00000611 => x"22591205",
623
    00000612 => x"01000537",
624
    00000613 => x"3b498d61",
625
    00000614 => x"05136505",
626
    00000615 => x"2a9d12c5",
627
    00000616 => x"02000537",
628
    00000617 => x"54228d61",
629
    00000618 => x"549250b2",
630
    00000619 => x"49f25902",
631
    00000620 => x"4ad24a62",
632
    00000621 => x"61454b42",
633
    00000622 => x"1459bb85",
634
    00000623 => x"74130505",
635
    00000624 => x"75130ff4",
636
    00000625 => x"bd190ff5",
637
    00000626 => x"89634705",
638
    00000627 => x"470900e7",
639
    00000628 => x"00e79a63",
640
    00000629 => x"05136505",
641
    00000630 => x"bd81f705",
642
    00000631 => x"05136505",
643
    00000632 => x"b5a1f605",
644
    00000633 => x"05136505",
645
    00000634 => x"b581f685",
646
    00000635 => x"05136505",
647
    00000636 => x"a2191385",
648
    00000637 => x"fc002573",
649
    00000638 => x"89058145",
650
    00000639 => x"06938082",
651
    00000640 => x"567df980",
652
    00000641 => x"c288c290",
653
    00000642 => x"8082c2cc",
654
    00000643 => x"68051141",
655
    00000644 => x"00058523",
656
    00000645 => x"00544781",
657
    00000646 => x"1ac80813",
658
    00000647 => x"76334729",
659
    00000648 => x"88b302e5",
660
    00000649 => x"078500f6",
661
    00000650 => x"46039642",
662
    00000651 => x"55330006",
663
    00000652 => x"802302e5",
664
    00000653 => x"94e300c8",
665
    00000654 => x"8636fee7",
666
    00000655 => x"05134725",
667
    00000656 => x"48030300",
668
    00000657 => x"87ba0096",
669
    00000658 => x"0742177d",
670
    00000659 => x"17638341",
671
    00000660 => x"04a300a8",
672
    00000661 => x"167d0006",
673
    00000662 => x"4781f76d",
674
    00000663 => x"470197b6",
675
    00000664 => x"0007c603",
676
    00000665 => x"0513ca09",
677
    00000666 => x"972e0017",
678
    00000667 => x"00c70023",
679
    00000668 => x"01051713",
680
    00000669 => x"86138341",
681
    00000670 => x"9763fff7",
682
    00000671 => x"95ba00f6",
683
    00000672 => x"00058023",
684
    00000673 => x"80820141",
685
    00000674 => x"bfd987b2",
686
    00000675 => x"fc002573",
687
    00000676 => x"89058149",
688
    00000677 => x"20238082",
689
    00000678 => x"26f3fa00",
690
    00000679 => x"4701fc10",
691
    00000680 => x"f5630506",
692
    00000681 => x"668502a6",
693
    00000682 => x"16f94781",
694
    00000683 => x"02e6e763",
695
    00000684 => x"89858a05",
696
    00000685 => x"067a07e2",
697
    00000686 => x"05f68fd1",
698
    00000687 => x"8fd98fcd",
699
    00000688 => x"10000737",
700
    00000689 => x"20238fd9",
701
    00000690 => x"8082faf0",
702
    00000691 => x"00170793",
703
    00000692 => x"01079713",
704
    00000693 => x"83418e89",
705
    00000694 => x"8513b7e9",
706
    00000695 => x"7513ffe7",
707
    00000696 => x"e5110fd5",
708
    00000697 => x"0785830d",
709
    00000698 => x"0ff7f793",
710
    00000699 => x"8305b7c1",
711
    00000700 => x"2e23bfdd",
712
    00000701 => x"8082fea0",
713
    00000702 => x"46b54729",
714
    00000703 => x"00054783",
715
    00000704 => x"e3910505",
716
    00000705 => x"94638082",
717
    00000706 => x"2e2300e7",
718
    00000707 => x"2e23fed0",
719
    00000708 => x"b7edfef0",
720
    00000709 => x"d422715d",
721
    00000710 => x"6405c2be",
722
    00000711 => x"d226185c",
723
    00000712 => x"cc52ce4e",
724
    00000713 => x"c85aca56",
725
    00000714 => x"d04ad606",
726
    00000715 => x"dc32da2e",
727
    00000716 => x"c0bade36",
728
    00000717 => x"c6c6c4c2",
729
    00000718 => x"0993c03e",
730
    00000719 => x"4a290250",
731
    00000720 => x"04934ab5",
732
    00000721 => x"0b130730",
733
    00000722 => x"04130750",
734
    00000723 => x"47831b84",
735
    00000724 => x"c39d0005",
736
    00000725 => x"0d379463",
737
    00000726 => x"00154783",
738
    00000727 => x"00250913",
739
    00000728 => x"06978563",
740
    00000729 => x"02f4e463",
741
    00000730 => x"06300713",
742
    00000731 => x"06e78763",
743
    00000732 => x"06900713",
744
    00000733 => x"06e78c63",
745
    00000734 => x"542250b2",
746
    00000735 => x"59025492",
747
    00000736 => x"4a6249f2",
748
    00000737 => x"4b424ad2",
749
    00000738 => x"80826161",
750
    00000739 => x"09678163",
751
    00000740 => x"07800713",
752
    00000741 => x"fee792e3",
753
    00000742 => x"00544782",
754
    00000743 => x"438c8536",
755
    00000744 => x"00478713",
756
    00000745 => x"0613c03a",
757
    00000746 => x"47010200",
758
    00000747 => x"00e5d7b3",
759
    00000748 => x"97a28bbd",
760
    00000749 => x"0007c783",
761
    00000750 => x"16fd0711",
762
    00000751 => x"00f68423",
763
    00000752 => x"fec716e3",
764
    00000753 => x"00010623",
765
    00000754 => x"4782a031",
766
    00000755 => x"87134388",
767
    00000756 => x"c03a0047",
768
    00000757 => x"854a3715",
769
    00000758 => x"4782bf9d",
770
    00000759 => x"00478713",
771
    00000760 => x"0007c783",
772
    00000761 => x"2e23c03a",
773
    00000762 => x"b7f5fef0",
774
    00000763 => x"43884782",
775
    00000764 => x"00478713",
776
    00000765 => x"5863c03a",
777
    00000766 => x"07930005",
778
    00000767 => x"053302d0",
779
    00000768 => x"2e2340a0",
780
    00000769 => x"004cfef0",
781
    00000770 => x"00483511",
782
    00000771 => x"4782b7e1",
783
    00000772 => x"8713004c",
784
    00000773 => x"43880047",
785
    00000774 => x"b7fdc03a",
786
    00000775 => x"01479463",
787
    00000776 => x"ff502e23",
788
    00000777 => x"00150913",
789
    00000778 => x"7693bf7d",
790
    00000779 => x"470d0fb5",
791
    00000780 => x"866387aa",
792
    00000781 => x"472d00e6",
793
    00000782 => x"98634505",
794
    00000783 => x"450500e7",
795
    00000784 => x"00f517b3",
796
    00000785 => x"3047a073",
797
    00000786 => x"80824501",
798
    00000787 => x"f8800713",
799
    00000788 => x"e693431c",
800
    00000789 => x"c3140087",
801
    00000790 => x"078a8b8d",
802
    00000791 => x"80818713",
803
    00000792 => x"a30397ba",
804
    00000793 => x"83020007",
805
    00000794 => x"fc002573",
806
    00000795 => x"8905815d",
807
    00000796 => x"479d8082",
808
    00000797 => x"00a7fa63",
809
    00000798 => x"80824505",
810
    00000799 => x"40b24505",
811
    00000800 => x"44924422",
812
    00000801 => x"80820141",
813
    00000802 => x"c2261141",
814
    00000803 => x"658584ae",
815
    00000804 => x"8593c422",
816
    00000805 => x"842ac4c5",
817
    00000806 => x"c606456d",
818
    00000807 => x"a9fff0ef",
819
    00000808 => x"1713fd71",
820
    00000809 => x"87930024",
821
    00000810 => x"97ba8081",
822
    00000811 => x"2703c384",
823
    00000812 => x"0421f880",
824
    00000813 => x"97b34785",
825
    00000814 => x"8fd90087",
826
    00000815 => x"0107e793",
827
    00000816 => x"f8f02423",
828
    00000817 => x"479dbf6d",
829
    00000818 => x"00a7ed63",
830
    00000819 => x"f8802783",
831
    00000820 => x"8d5d0542",
832
    00000821 => x"000807b7",
833
    00000822 => x"24238d5d",
834
    00000823 => x"4501f8a0",
835
    00000824 => x"45058082",
836
    00000825 => x"00008082",
837
    00000826 => x"2d2d0a0a",
838
    00000827 => x"2d2d2d2d",
839
    00000828 => x"55504320",
840
    00000829 => x"53455420",
841
    00000830 => x"2d2d2054",
842
    00000831 => x"2d2d2d2d",
843
    00000832 => x"00000a0a",
844
    00000833 => x"454e0a0a",
845
    00000834 => x"3356524f",
846
    00000835 => x"78652032",
847
    00000836 => x"74706563",
848
    00000837 => x"736e6f69",
849
    00000838 => x"646e6120",
850
    00000839 => x"746e6920",
851
    00000840 => x"75727265",
852
    00000841 => x"20737470",
853
    00000842 => x"74736574",
854
    00000843 => x"6f727020",
855
    00000844 => x"6d617267",
856
    00000845 => x"00000a0a",
857
    00000846 => x"74736e69",
858
    00000847 => x"206c6c61",
859
    00000848 => x"6f727265",
860
    00000849 => x"000a2172",
861
    00000850 => x"43494c43",
862
    00000851 => x"736e6920",
863
    00000852 => x"6c6c6174",
864
    00000853 => x"72726520",
865
    00000854 => x"0a21726f",
866
    00000855 => x"00000000",
867
    00000856 => x"434e4546",
868
    00000857 => x"492e2845",
869
    00000858 => x"20203a29",
870
    00000859 => x"00000020",
871
    00000860 => x"6c696166",
872
    00000861 => x"0000000a",
873
    00000862 => x"000a6b6f",
874
    00000863 => x"20435845",
875
    00000864 => x"4c415f49",
876
    00000865 => x"3a4e4749",
877
    00000866 => x"00000020",
878
    00000867 => x"70696b73",
879
    00000868 => x"20646570",
880
    00000869 => x"746f6e28",
881
    00000870 => x"736f7020",
882
    00000871 => x"6c626973",
883
    00000872 => x"68772065",
884
    00000873 => x"43206e65",
885
    00000874 => x"5458452d",
886
    00000875 => x"616e6520",
887
    00000876 => x"64656c62",
888
    00000877 => x"00000a29",
889
    00000878 => x"20435845",
890
    00000879 => x"43415f49",
891
    00000880 => x"20203a43",
892
    00000881 => x"00000020",
893
    00000882 => x"20435845",
894
    00000883 => x"4c495f49",
895
    00000884 => x"3a47454c",
896
    00000885 => x"00000020",
897
    00000886 => x"20435845",
898
    00000887 => x"41455242",
899
    00000888 => x"20203a4b",
900
    00000889 => x"00000020",
901
    00000890 => x"20435845",
902
    00000891 => x"4c415f4c",
903
    00000892 => x"3a4e4749",
904
    00000893 => x"00000020",
905
    00000894 => x"20435845",
906
    00000895 => x"43415f4c",
907
    00000896 => x"20203a43",
908
    00000897 => x"00000020",
909
    00000898 => x"20435845",
910
    00000899 => x"4c415f53",
911
    00000900 => x"3a4e4749",
912
    00000901 => x"00000020",
913
    00000902 => x"20435845",
914
    00000903 => x"43415f53",
915
    00000904 => x"20203a43",
916
    00000905 => x"00000020",
917
    00000906 => x"20435845",
918
    00000907 => x"43564e45",
919
    00000908 => x"3a4c4c41",
920
    00000909 => x"00000020",
921
    00000910 => x"20515249",
922
    00000911 => x"3a49534d",
923
    00000912 => x"20202020",
924
    00000913 => x"00000020",
925
    00000914 => x"20515249",
926
    00000915 => x"3a49544d",
927
    00000916 => x"20202020",
928
    00000917 => x"00000020",
929
    00000918 => x"20515249",
930
    00000919 => x"3a49454d",
931
    00000920 => x"20202020",
932
    00000921 => x"00000020",
933
    00000922 => x"65540a0a",
934
    00000923 => x"3a737473",
935
    00000924 => x"0a692520",
936
    00000925 => x"203a4b4f",
937
    00000926 => x"25202020",
938
    00000927 => x"41460a69",
939
    00000928 => x"203a4c49",
940
    00000929 => x"0a692520",
941
    00000930 => x"0000000a",
942
    00000931 => x"54534554",
943
    00000932 => x"214b4f20",
944
    00000933 => x"0000000a",
945
    00000934 => x"54534554",
946
    00000935 => x"49414620",
947
    00000936 => x"2144454c",
948
    00000937 => x"0000000a",
949
    00000938 => x"65757254",
950
    00000939 => x"0000000a",
951
    00000940 => x"736c6146",
952
    00000941 => x"00000a65",
953
    00000942 => x"3c3c0a0a",
954
    00000943 => x"4f454e20",
955
    00000944 => x"32335652",
956
    00000945 => x"72614820",
957
    00000946 => x"72617764",
958
    00000947 => x"6f432065",
959
    00000948 => x"6769666e",
960
    00000949 => x"74617275",
961
    00000950 => x"206e6f69",
962
    00000951 => x"7265764f",
963
    00000952 => x"77656976",
964
    00000953 => x"0a3e3e20",
965
    00000954 => x"00000000",
966
    00000955 => x"202d2d0a",
967
    00000956 => x"746e6543",
968
    00000957 => x"206c6172",
969
    00000958 => x"636f7250",
970
    00000959 => x"69737365",
971
    00000960 => x"5520676e",
972
    00000961 => x"2074696e",
973
    00000962 => x"000a2d2d",
974
    00000963 => x"74726148",
975
    00000964 => x"3a444920",
976
    00000965 => x"20202020",
977
    00000966 => x"20202020",
978
    00000967 => x"78302020",
979
    00000968 => x"000a7825",
980
    00000969 => x"64726148",
981
    00000970 => x"65726177",
982
    00000971 => x"72657620",
983
    00000972 => x"6e6f6973",
984
    00000973 => x"0000203a",
985
    00000974 => x"78302820",
986
    00000975 => x"0a297825",
987
    00000976 => x"00000000",
988
    00000977 => x"68637241",
989
    00000978 => x"63657469",
990
    00000979 => x"65727574",
991
    00000980 => x"2020203a",
992
    00000981 => x"00002020",
993
    00000982 => x"6e6b6e75",
994
    00000983 => x"006e776f",
995
    00000984 => x"32335652",
996
    00000985 => x"00000000",
997
    00000986 => x"32315652",
998
    00000987 => x"00000038",
999
    00000988 => x"34365652",
1000
    00000989 => x"00000000",
1001
    00000990 => x"5550430a",
1002
    00000991 => x"74786520",
1003
    00000992 => x"69736e65",
1004
    00000993 => x"3a736e6f",
1005
    00000994 => x"00202020",
1006
    00000995 => x"25783028",
1007
    00000996 => x"000a2978",
1008
    00000997 => x"20544e43",
1009
    00000998 => x"69742026",
1010
    00000999 => x"4320656d",
1011
    00001000 => x"3a735253",
1012
    00001001 => x"00002020",
1013
    00001002 => x"636f6c43",
1014
    00001003 => x"7073206b",
1015
    00001004 => x"3a646565",
1016
    00001005 => x"20202020",
1017
    00001006 => x"75252020",
1018
    00001007 => x"0a7a4820",
1019
    00001008 => x"00000000",
1020
    00001009 => x"202d2d0a",
1021
    00001010 => x"6f6d654d",
1022
    00001011 => x"43207972",
1023
    00001012 => x"69666e6f",
1024
    00001013 => x"61727567",
1025
    00001014 => x"6e6f6974",
1026
    00001015 => x"0a2d2d20",
1027
    00001016 => x"00000000",
1028
    00001017 => x"74736e49",
1029
    00001018 => x"74637572",
1030
    00001019 => x"206e6f69",
1031
    00001020 => x"6f6d656d",
1032
    00001021 => x"203a7972",
1033
    00001022 => x"75252020",
1034
    00001023 => x"74796220",
1035
    00001024 => x"40207365",
1036
    00001025 => x"25783020",
1037
    00001026 => x"00000a78",
1038
    00001027 => x"65746e49",
1039
    00001028 => x"6c616e72",
1040
    00001029 => x"454d4920",
1041
    00001030 => x"20203a4d",
1042
    00001031 => x"20202020",
1043
    00001032 => x"00002020",
1044
    00001033 => x"65746e49",
1045
    00001034 => x"6c616e72",
1046
    00001035 => x"454d4920",
1047
    00001036 => x"7361204d",
1048
    00001037 => x"4d4f5220",
1049
    00001038 => x"0000203a",
1050
    00001039 => x"61746144",
1051
    00001040 => x"6d656d20",
1052
    00001041 => x"3a79726f",
1053
    00001042 => x"20202020",
1054
    00001043 => x"20202020",
1055
    00001044 => x"75252020",
1056
    00001045 => x"74796220",
1057
    00001046 => x"40207365",
1058
    00001047 => x"25783020",
1059
    00001048 => x"00000a78",
1060
    00001049 => x"65746e49",
1061
    00001050 => x"6c616e72",
1062
    00001051 => x"454d4420",
1063
    00001052 => x"20203a4d",
1064
    00001053 => x"20202020",
1065
    00001054 => x"00002020",
1066
    00001055 => x"746f6f42",
1067
    00001056 => x"64616f6c",
1068
    00001057 => x"203a7265",
1069
    00001058 => x"20202020",
1070
    00001059 => x"20202020",
1071
    00001060 => x"00002020",
1072
    00001061 => x"65747845",
1073
    00001062 => x"6c616e72",
1074
    00001063 => x"746e6920",
1075
    00001064 => x"61667265",
1076
    00001065 => x"203a6563",
1077
    00001066 => x"00002020",
1078
    00001067 => x"202d2d0a",
1079
    00001068 => x"69726550",
1080
    00001069 => x"72656870",
1081
    00001070 => x"20736c61",
1082
    00001071 => x"000a2d2d",
1083
    00001072 => x"4f495047",
1084
    00001073 => x"2020203a",
1085
    00001074 => x"00000020",
1086
    00001075 => x"4d49544d",
1087
    00001076 => x"20203a45",
1088
    00001077 => x"00000020",
1089
    00001078 => x"54524155",
1090
    00001079 => x"2020203a",
1091
    00001080 => x"00000020",
1092
    00001081 => x"3a495053",
1093
    00001082 => x"20202020",
1094
    00001083 => x"00000020",
1095
    00001084 => x"3a495754",
1096
    00001085 => x"20202020",
1097
    00001086 => x"00000020",
1098
    00001087 => x"3a4d5750",
1099
    00001088 => x"20202020",
1100
    00001089 => x"00000020",
1101
    00001090 => x"3a544457",
1102
    00001091 => x"20202020",
1103
    00001092 => x"00000020",
1104
    00001093 => x"43494c43",
1105
    00001094 => x"2020203a",
1106
    00001095 => x"00000020",
1107
    00001096 => x"474e5254",
1108
    00001097 => x"2020203a",
1109
    00001098 => x"00000020",
1110
    00001099 => x"4e564544",
1111
    00001100 => x"3a4c4c55",
1112
    00001101 => x"00000020",
1113
    00001102 => x"68540a0a",
1114
    00001103 => x"454e2065",
1115
    00001104 => x"3356524f",
1116
    00001105 => x"72502032",
1117
    00001106 => x"7365636f",
1118
    00001107 => x"20726f73",
1119
    00001108 => x"6a6f7250",
1120
    00001109 => x"0a746365",
1121
    00001110 => x"53207962",
1122
    00001111 => x"68706574",
1123
    00001112 => x"4e206e61",
1124
    00001113 => x"69746c6f",
1125
    00001114 => x"680a676e",
1126
    00001115 => x"73707474",
1127
    00001116 => x"672f2f3a",
1128
    00001117 => x"75687469",
1129
    00001118 => x"6f632e62",
1130
    00001119 => x"74732f6d",
1131
    00001120 => x"746c6f6e",
1132
    00001121 => x"2f676e69",
1133
    00001122 => x"726f656e",
1134
    00001123 => x"0a323376",
1135
    00001124 => x"6564616d",
1136
    00001125 => x"206e6920",
1137
    00001126 => x"6e6e6148",
1138
    00001127 => x"7265766f",
1139
    00001128 => x"6547202c",
1140
    00001129 => x"6e616d72",
1141
    00001130 => x"000a0a79",
1142
    00001131 => x"33323130",
1143
    00001132 => x"37363534",
1144
    00001133 => x"00003938",
1145
    00001134 => x"33323130",
1146
    00001135 => x"37363534",
1147
    00001136 => x"62613938",
1148
    00001137 => x"66656463",
1149
    00001138 => x"dead007f",
1150
    00001139 => x"00008067",
1151 2 zero_gravi
    others   => x"00000000"
1152
  );
1153
 
1154
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.