OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 11 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <cpu_test/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_application_image is
8
 
9
  type application_init_image_t is array (0 to 65535) of std_ulogic_vector(31 downto 0);
10
  constant application_init_image : application_init_image_t := (
11 11 zero_gravi
    00000000 => x"00930001",
12
    00000001 => x"81130000",
13
    00000002 => x"01930000",
14
    00000003 => x"82130001",
15
    00000004 => x"02930001",
16
    00000005 => x"83130002",
17
    00000006 => x"03930002",
18
    00000007 => x"84130003",
19
    00000008 => x"04930003",
20
    00000009 => x"85130004",
21
    00000010 => x"05930004",
22
    00000011 => x"86130005",
23
    00000012 => x"06930005",
24
    00000013 => x"87130006",
25
    00000014 => x"07930006",
26
    00000015 => x"88130007",
27
    00000016 => x"08930007",
28
    00000017 => x"89130008",
29
    00000018 => x"09930008",
30
    00000019 => x"8a130009",
31
    00000020 => x"0a930009",
32
    00000021 => x"8b13000a",
33
    00000022 => x"0b93000a",
34
    00000023 => x"8c13000b",
35
    00000024 => x"0c93000b",
36
    00000025 => x"8d13000c",
37
    00000026 => x"0d93000c",
38
    00000027 => x"8e13000d",
39
    00000028 => x"0e93000d",
40
    00000029 => x"8f13000e",
41
    00000030 => x"0f93000e",
42
    00000031 => x"0001000f",
43 12 zero_gravi
    00000032 => x"ff402583",
44
    00000033 => x"ffc02603",
45 11 zero_gravi
    00000034 => x"00c58133",
46
    00000035 => x"04131171",
47
    00000036 => x"01970001",
48
    00000037 => x"81938000",
49
    00000038 => x"05977ee1",
50
    00000039 => x"85930000",
51 12 zero_gravi
    00000040 => x"90730965",
52
    00000041 => x"25833055",
53
    00000042 => x"0617ff40",
54 11 zero_gravi
    00000043 => x"06130000",
55 12 zero_gravi
    00000044 => x"06931506",
56 11 zero_gravi
    00000045 => x"c1900200",
57
    00000046 => x"16fd0591",
58
    00000047 => x"fed01de3",
59
    00000048 => x"f8000593",
60
    00000049 => x"0005a023",
61
    00000050 => x"1de30591",
62 12 zero_gravi
    00000051 => x"0597feb0",
63
    00000052 => x"85938000",
64
    00000053 => x"8613fba5",
65
    00000054 => x"d66382c1",
66
    00000055 => x"802300c5",
67
    00000056 => x"05850005",
68
    00000057 => x"1597bfdd",
69
    00000058 => x"85930000",
70
    00000059 => x"06171e65",
71
    00000060 => x"06138000",
72
    00000061 => x"0697f926",
73
    00000062 => x"86938000",
74
    00000063 => x"5963f926",
75
    00000064 => x"870300d6",
76
    00000065 => x"00230005",
77
    00000066 => x"058500e6",
78
    00000067 => x"bfc50605",
79
    00000068 => x"00000513",
80
    00000069 => x"00000593",
81
    00000070 => x"0ee000ef",
82
    00000071 => x"30047073",
83
    00000072 => x"10500073",
84
    00000073 => x"0013a001",
85
    00000074 => x"00130000",
86
    00000075 => x"00010000",
87
    00000076 => x"f8810113",
88
    00000077 => x"c20ec006",
89
    00000078 => x"c616c412",
90
    00000079 => x"ca1ec81a",
91
    00000080 => x"ce26cc22",
92
    00000081 => x"d22ed02a",
93
    00000082 => x"d636d432",
94
    00000083 => x"da3ed83a",
95
    00000084 => x"de46dc42",
96
    00000085 => x"c2cec0ca",
97
    00000086 => x"c6d6c4d2",
98
    00000087 => x"cadec8da",
99
    00000088 => x"cee6cce2",
100
    00000089 => x"d2eed0ea",
101
    00000090 => x"d6f6d4f2",
102
    00000091 => x"dafed8fa",
103
    00000092 => x"342022f3",
104
    00000093 => x"00f2f313",
105
    00000094 => x"2083030a",
106
    00000095 => x"9306ff40",
107
    00000096 => x"341020f3",
108
    00000097 => x"0002cd63",
109
    00000098 => x"00009283",
110
    00000099 => x"00300393",
111
    00000100 => x"0072f2b3",
112
    00000101 => x"96630089",
113
    00000102 => x"00890072",
114
    00000103 => x"0313a019",
115
    00000104 => x"22830403",
116
    00000105 => x"11710003",
117
    00000106 => x"80e7c006",
118
    00000107 => x"40820002",
119
    00000108 => x"90730111",
120
    00000109 => x"40823410",
121
    00000110 => x"42224192",
122
    00000111 => x"434242b2",
123
    00000112 => x"446243d2",
124
    00000113 => x"550244f2",
125
    00000114 => x"56225592",
126
    00000115 => x"574256b2",
127
    00000116 => x"586257d2",
128
    00000117 => x"490658f2",
129
    00000118 => x"4a264996",
130
    00000119 => x"4b464ab6",
131
    00000120 => x"4c664bd6",
132
    00000121 => x"5d064cf6",
133
    00000122 => x"5e265d96",
134
    00000123 => x"5f465eb6",
135
    00000124 => x"01135fd6",
136
    00000125 => x"00730781",
137
    00000126 => x"80823020",
138
    00000127 => x"00000000",
139
    00000128 => x"00000000",
140
    00000129 => x"71390000",
141
    00000130 => x"dc22de06",
142
    00000131 => x"d84ada26",
143
    00000132 => x"d452d64e",
144
    00000133 => x"00efd256",
145
    00000134 => x"00631230",
146
    00000135 => x"00ef0c05",
147
    00000136 => x"0c632fb0",
148
    00000137 => x"00ef0a05",
149
    00000138 => x"08630410",
150
    00000139 => x"65150a05",
151
    00000140 => x"45814601",
152
    00000141 => x"b0050513",
153
    00000142 => x"10b000ef",
154
    00000143 => x"45814501",
155
    00000144 => x"031000ef",
156
    00000145 => x"557d55fd",
157
    00000146 => x"063000ef",
158
    00000147 => x"05136505",
159
    00000148 => x"00efd9c5",
160
    00000149 => x"00ef16f0",
161
    00000150 => x"00ef0090",
162
    00000151 => x"650560a0",
163
    00000152 => x"db850513",
164
    00000153 => x"00ef4481",
165
    00000154 => x"059315b0",
166
    00000155 => x"450177e0",
167
    00000156 => x"842a232d",
168
    00000157 => x"77e00593",
169
    00000158 => x"23054505",
170
    00000159 => x"0593942a",
171
    00000160 => x"450977e0",
172
    00000161 => x"942a2b19",
173
    00000162 => x"77e00593",
174
    00000163 => x"2331450d",
175
    00000164 => x"0593942a",
176
    00000165 => x"451177e0",
177
    00000166 => x"942a2309",
178
    00000167 => x"77e00593",
179
    00000168 => x"29e54515",
180
    00000169 => x"0593942a",
181
    00000170 => x"451977e0",
182
    00000171 => x"942a21fd",
183
    00000172 => x"77e00593",
184
    00000173 => x"21d5451d",
185
    00000174 => x"0593942a",
186
    00000175 => x"452d77e0",
187
    00000176 => x"942a29e9",
188
    00000177 => x"77e00593",
189
    00000178 => x"29c1455d",
190
    00000179 => x"c005942a",
191
    00000180 => x"05136505",
192
    00000181 => x"00efdd05",
193
    00000182 => x"50f20eb0",
194
    00000183 => x"54d25462",
195
    00000184 => x"59b25942",
196
    00000185 => x"5a925a22",
197
    00000186 => x"61214501",
198
    00000187 => x"05938082",
199
    00000188 => x"450177e0",
200
    00000189 => x"22f000ef",
201
    00000190 => x"c509842a",
202
    00000191 => x"05136505",
203
    00000192 => x"bfd1de45",
204
    00000193 => x"30046073",
205
    00000194 => x"650554fd",
206
    00000195 => x"8291a423",
207
    00000196 => x"dfc50513",
208
    00000197 => x"a4236985",
209
    00000198 => x"00ef8291",
210
    00000199 => x"85130a70",
211
    00000200 => x"00efe0c9",
212
    00000201 => x"650509f0",
213
    00000202 => x"e2050513",
214
    00000203 => x"8291a423",
215
    00000204 => x"091000ef",
216
    00000205 => x"e0c98513",
217
    00000206 => x"089000ef",
218
    00000207 => x"05136505",
219
    00000208 => x"00efe305",
220
    00000209 => x"17b707f0",
221
    00000210 => x"87931bcd",
222
    00000211 => x"90732347",
223
    00000212 => x"47b7b007",
224
    00000213 => x"87930003",
225
    00000214 => x"90734557",
226
    00000215 => x"2773b807",
227
    00000216 => x"76c1b000",
228
    00000217 => x"06b78f75",
229
    00000218 => x"12631bcd",
230
    00000219 => x"277332d7",
231
    00000220 => x"1e63b800",
232
    00000221 => x"650530f7",
233
    00000222 => x"e4050513",
234
    00000223 => x"045000ef",
235
    00000224 => x"65054485",
236
    00000225 => x"e4c50513",
237
    00000226 => x"039000ef",
238
    00000227 => x"112247b7",
239
    00000228 => x"49978793",
240
    00000229 => x"b0279073",
241
    00000230 => x"000907b7",
242
    00000231 => x"907307c5",
243
    00000232 => x"2773b827",
244
    00000233 => x"76c1b020",
245
    00000234 => x"06b78f75",
246
    00000235 => x"18631122",
247
    00000236 => x"27732ed7",
248
    00000237 => x"1463b820",
249
    00000238 => x"65052ef7",
250
    00000239 => x"e4050513",
251
    00000240 => x"001000ef",
252
    00000241 => x"65050485",
253
    00000242 => x"e5c50513",
254
    00000243 => x"7f4000ef",
255
    00000244 => x"c01027f3",
256
    00000245 => x"2af3c83e",
257
    00000246 => x"79c1c810",
258
    00000247 => x"0137fa33",
259
    00000248 => x"00efca56",
260
    00000249 => x"f9b36aa0",
261
    00000250 => x"116300a9",
262
    00000251 => x"9f632d3a",
263
    00000252 => x"65052aba",
264
    00000253 => x"e4050513",
265
    00000254 => x"7c8000ef",
266
    00000255 => x"65050485",
267
    00000256 => x"051359fd",
268
    00000257 => x"a423e6c5",
269
    00000258 => x"00ef8331",
270
    00000259 => x"000f7b60",
271
    00000260 => x"100f0ff0",
272
    00000261 => x"a7830000",
273
    00000262 => x"80638281",
274
    00000263 => x"65052b37",
275
    00000264 => x"e4450513",
276
    00000265 => x"79c000ef",
277
    00000266 => x"65050405",
278
    00000267 => x"051357fd",
279
    00000268 => x"a423e7c5",
280
    00000269 => x"00ef82f1",
281
    00000270 => x"27f378a0",
282
    00000271 => x"8b913010",
283
    00000272 => x"28079b63",
284
    00000273 => x"97824789",
285
    00000274 => x"8281a783",
286
    00000275 => x"26079e63",
287
    00000276 => x"05136505",
288
    00000277 => x"00efe405",
289
    00000278 => x"048576a0",
290
    00000279 => x"65054995",
291
    00000280 => x"051357fd",
292
    00000281 => x"a423eb85",
293
    00000282 => x"00ef82f1",
294
    00000283 => x"07937560",
295
    00000284 => x"9782f000",
296
    00000285 => x"8281a703",
297
    00000286 => x"15634785",
298
    00000287 => x"650526f7",
299
    00000288 => x"e4050513",
300
    00000289 => x"73c000ef",
301
    00000290 => x"65050485",
302
    00000291 => x"051357fd",
303
    00000292 => x"a423ec85",
304
    00000293 => x"00ef82f1",
305
    00000294 => x"07b772a0",
306
    00000295 => x"87938000",
307
    00000296 => x"80e70807",
308
    00000297 => x"a7030007",
309
    00000298 => x"47898281",
310
    00000299 => x"24f71363",
311 11 zero_gravi
    00000300 => x"05136505",
312 12 zero_gravi
    00000301 => x"00efe405",
313
    00000302 => x"048570a0",
314
    00000303 => x"57fd6505",
315
    00000304 => x"ed850513",
316
    00000305 => x"82f1a423",
317
    00000306 => x"6f8000ef",
318
    00000307 => x"a7039002",
319
    00000308 => x"478d8281",
320
    00000309 => x"22f71663",
321
    00000310 => x"05136505",
322
    00000311 => x"00efe405",
323
    00000312 => x"04856e20",
324
    00000313 => x"57fd6505",
325
    00000314 => x"ee850513",
326
    00000315 => x"82f1a423",
327
    00000316 => x"6d0000ef",
328
    00000317 => x"00202003",
329
    00000318 => x"8281a703",
330
    00000319 => x"18634791",
331
    00000320 => x"650520f7",
332
    00000321 => x"e4050513",
333
    00000322 => x"6b8000ef",
334
    00000323 => x"65050485",
335
    00000324 => x"051357fd",
336
    00000325 => x"a423ef85",
337
    00000326 => x"00ef82f1",
338
    00000327 => x"27836a60",
339
    00000328 => x"c63ef000",
340
    00000329 => x"8281a703",
341
    00000330 => x"19634795",
342
    00000331 => x"65051ef7",
343
    00000332 => x"e4050513",
344
    00000333 => x"68c000ef",
345
    00000334 => x"65050485",
346
    00000335 => x"051357fd",
347
    00000336 => x"a423f085",
348
    00000337 => x"00ef82f1",
349
    00000338 => x"212367a0",
350
    00000339 => x"a7030000",
351
    00000340 => x"47998281",
352
    00000341 => x"1cf71b63",
353
    00000342 => x"05136505",
354
    00000343 => x"00efe405",
355
    00000344 => x"04856620",
356
    00000345 => x"57fd6505",
357
    00000346 => x"f1850513",
358
    00000347 => x"82f1a423",
359
    00000348 => x"650000ef",
360
    00000349 => x"f0002023",
361
    00000350 => x"8281a703",
362
    00000351 => x"1d63479d",
363
    00000352 => x"65051af7",
364
    00000353 => x"e4050513",
365
    00000354 => x"638000ef",
366
    00000355 => x"65050485",
367
    00000356 => x"051357fd",
368
    00000357 => x"a423f285",
369
    00000358 => x"00ef82f1",
370
    00000359 => x"00736260",
371
    00000360 => x"a7030000",
372
    00000361 => x"47ad8281",
373
    00000362 => x"18f71f63",
374
    00000363 => x"05136505",
375
    00000364 => x"00efe405",
376
    00000365 => x"048560e0",
377
    00000366 => x"57fd6505",
378
    00000367 => x"f3850513",
379
    00000368 => x"82f1a423",
380
    00000369 => x"5fc000ef",
381
    00000370 => x"45814501",
382
    00000371 => x"4de000ef",
383
    00000372 => x"00010001",
384
    00000373 => x"00010001",
385
    00000374 => x"8281a703",
386
    00000375 => x"800007b7",
387
    00000376 => x"1863079d",
388
    00000377 => x"650516f7",
389
    00000378 => x"e4050513",
390
    00000379 => x"5d4000ef",
391
    00000380 => x"55fd0485",
392
    00000381 => x"00ef557d",
393
    00000382 => x"65054b40",
394
    00000383 => x"051357fd",
395
    00000384 => x"a423f485",
396
    00000385 => x"00ef82f1",
397
    00000386 => x"45015ba0",
398
    00000387 => x"76e000ef",
399
    00000388 => x"00010001",
400
    00000389 => x"00010001",
401
    00000390 => x"8281a703",
402
    00000391 => x"800007b7",
403
    00000392 => x"1e6307ad",
404
    00000393 => x"650512f7",
405
    00000394 => x"e4050513",
406
    00000395 => x"594000ef",
407
    00000396 => x"65050485",
408
    00000397 => x"051357fd",
409
    00000398 => x"a423f585",
410
    00000399 => x"00ef82f1",
411
    00000400 => x"21a95820",
412
    00000401 => x"051387aa",
413
    00000402 => x"37b33e85",
414
    00000403 => x"95be00f5",
415
    00000404 => x"29a109ad",
416
    00000405 => x"10500073",
417
    00000406 => x"8281a703",
418
    00000407 => x"800007b7",
419
    00000408 => x"0463079d",
420
    00000409 => x"650510f7",
421
    00000410 => x"e4450513",
422
    00000411 => x"554000ef",
423
    00000412 => x"65050405",
424
    00000413 => x"862686a2",
425
    00000414 => x"051385ce",
426
    00000415 => x"00eff685",
427
    00000416 => x"1a635420",
428
    00000417 => x"65050e04",
429
    00000418 => x"f8c50513",
430
    00000419 => x"6505b1a9",
431
    00000420 => x"e4450513",
432
    00000421 => x"52c000ef",
433
    00000422 => x"44054481",
434
    00000423 => x"6505b1dd",
435
    00000424 => x"e4450513",
436
    00000425 => x"51c000ef",
437
    00000426 => x"bb310405",
438
    00000427 => x"05136505",
439
    00000428 => x"00efe445",
440
    00000429 => x"040550e0",
441
    00000430 => x"6505b399",
442
    00000431 => x"e4050513",
443
    00000432 => x"500000ef",
444
    00000433 => x"b3950485",
445
    00000434 => x"05136505",
446
    00000435 => x"00efe445",
447
    00000436 => x"04054f20",
448
    00000437 => x"6505b361",
449
    00000438 => x"e8c50513",
450
    00000439 => x"4e4000ef",
451
    00000440 => x"bbb54991",
452
    00000441 => x"05136505",
453
    00000442 => x"00efe445",
454
    00000443 => x"04054d60",
455
    00000444 => x"6505bb69",
456
    00000445 => x"e4450513",
457
    00000446 => x"4c8000ef",
458
    00000447 => x"bb7d0405",
459
    00000448 => x"05136505",
460
    00000449 => x"00efe445",
461
    00000450 => x"04054ba0",
462
    00000451 => x"6505bbe1",
463
    00000452 => x"e4450513",
464
    00000453 => x"4ac000ef",
465
    00000454 => x"bbd50405",
466
    00000455 => x"05136505",
467
    00000456 => x"00efe445",
468
    00000457 => x"040549e0",
469
    00000458 => x"6505bd09",
470
    00000459 => x"e4450513",
471
    00000460 => x"490000ef",
472
    00000461 => x"b53d0405",
473
    00000462 => x"05136505",
474
    00000463 => x"00efe445",
475
    00000464 => x"04054820",
476
    00000465 => x"6505b5a9",
477
    00000466 => x"e4450513",
478
    00000467 => x"04052995",
479
    00000468 => x"6505b5a5",
480
    00000469 => x"e4450513",
481
    00000470 => x"040521a5",
482
    00000471 => x"6505bd59",
483
    00000472 => x"e4450513",
484
    00000473 => x"040529b1",
485
    00000474 => x"6505b5e9",
486
    00000475 => x"e4050513",
487
    00000476 => x"04852981",
488
    00000477 => x"6505bdfd",
489
    00000478 => x"f9850513",
490
    00000479 => x"2773bea9",
491
    00000480 => x"a4233420",
492
    00000481 => x"808282e1",
493
    00000482 => x"6505c509",
494
    00000483 => x"fa850513",
495
    00000484 => x"6505a905",
496
    00000485 => x"fb050513",
497
    00000486 => x"1141bfe5",
498
    00000487 => x"c226c422",
499
    00000488 => x"479dc606",
500
    00000489 => x"84ae842a",
501
    00000490 => x"02a7f363",
502
    00000491 => x"006347ad",
503
    00000492 => x"47cd02f5",
504
    00000493 => x"00f50b63",
505
    00000494 => x"056347dd",
506
    00000495 => x"47ed02f5",
507
    00000496 => x"1c634505",
508
    00000497 => x"452d00f4",
509
    00000498 => x"450da011",
510
    00000499 => x"25032329",
511
    00000500 => x"040aff40",
512
    00000501 => x"c004942a",
513
    00000502 => x"40b24501",
514
    00000503 => x"44924422",
515
    00000504 => x"80820141",
516
    00000505 => x"b7dd451d",
517
    00000506 => x"ce061101",
518
    00000507 => x"ca26cc22",
519
    00000508 => x"c64ec84a",
520
    00000509 => x"c256c452",
521
    00000510 => x"2973c05a",
522
    00000511 => x"4b01f130",
523
    00000512 => x"4a2559e1",
524
    00000513 => x"44914a8d",
525
    00000514 => x"033b0433",
526
    00000515 => x"04614501",
527
    00000516 => x"00895433",
528
    00000517 => x"0ff47413",
529
    00000518 => x"028a6f63",
530
    00000519 => x"0513c511",
531
    00000520 => x"75130305",
532
    00000521 => x"2ea50ff5",
533
    00000522 => x"03040513",
534
    00000523 => x"0ff57513",
535
    00000524 => x"056326bd",
536
    00000525 => x"0513015b",
537
    00000526 => x"269502e0",
538
    00000527 => x"15e30b05",
539
    00000528 => x"40f2fc9b",
540
    00000529 => x"44d24462",
541
    00000530 => x"49b24942",
542
    00000531 => x"4a924a22",
543
    00000532 => x"61054b02",
544
    00000533 => x"14598082",
545
    00000534 => x"74130505",
546
    00000535 => x"75130ff4",
547
    00000536 => x"bf5d0ff5",
548
    00000537 => x"11016505",
549
    00000538 => x"fb850513",
550
    00000539 => x"cc22ce06",
551
    00000540 => x"c84aca26",
552
    00000541 => x"650526b1",
553
    00000542 => x"fec50513",
554
    00000543 => x"25f32691",
555
    00000544 => x"6505f140",
556
    00000545 => x"00c50513",
557
    00000546 => x"25832e25",
558
    00000547 => x"6505fe40",
559
    00000548 => x"02450513",
560
    00000549 => x"65052635",
561
    00000550 => x"03c50513",
562
    00000551 => x"37a92615",
563
    00000552 => x"f13025f3",
564
    00000553 => x"05136505",
565
    00000554 => x"2e190505",
566
    00000555 => x"05136505",
567
    00000556 => x"263905c5",
568
    00000557 => x"301027f3",
569
    00000558 => x"906383f9",
570
    00000559 => x"65051807",
571
    00000560 => x"07050513",
572
    00000561 => x"65052cf5",
573
    00000562 => x"09050513",
574
    00000563 => x"25f32cd5",
575
    00000564 => x"44013010",
576
    00000565 => x"44e94905",
577
    00000566 => x"008917b3",
578
    00000567 => x"cb998fed",
579
    00000568 => x"04140513",
580
    00000569 => x"0ff57513",
581
    00000570 => x"2c55c62e",
582
    00000571 => x"02000513",
583
    00000572 => x"45b2247d",
584
    00000573 => x"11e30405",
585
    00000574 => x"6505fe94",
586
    00000575 => x"0a450513",
587
    00000576 => x"258324c1",
588
    00000577 => x"6505fe00",
589
    00000578 => x"0ac50513",
590
    00000579 => x"65052c55",
591
    00000580 => x"0c850513",
592
    00000581 => x"25832475",
593
    00000582 => x"2603ff80",
594
    00000583 => x"6505ff00",
595
    00000584 => x"0e850513",
596
    00000585 => x"65052c71",
597
    00000586 => x"11050513",
598
    00000587 => x"25032c51",
599
    00000588 => x"8911fe80",
600
    00000589 => x"65053d91",
601
    00000590 => x"12850513",
602
    00000591 => x"25032451",
603
    00000592 => x"8921fe80",
604
    00000593 => x"25833591",
605
    00000594 => x"2603ffc0",
606
    00000595 => x"6505ff40",
607
    00000596 => x"14050513",
608
    00000597 => x"650524b5",
609
    00000598 => x"16850513",
610
    00000599 => x"25032495",
611
    00000600 => x"8941fe80",
612
    00000601 => x"65053515",
613
    00000602 => x"18050513",
614
    00000603 => x"25032c91",
615
    00000604 => x"8905fe80",
616
    00000605 => x"65053d11",
617
    00000606 => x"19850513",
618
    00000607 => x"25032491",
619
    00000608 => x"8909fe80",
620
    00000609 => x"65053511",
621
    00000610 => x"1b050513",
622
    00000611 => x"65052c15",
623
    00000612 => x"fe802403",
624
    00000613 => x"1c450513",
625
    00000614 => x"65412425",
626
    00000615 => x"33ed8d61",
627
    00000616 => x"05136505",
628
    00000617 => x"2c291d05",
629
    00000618 => x"00020537",
630
    00000619 => x"3be98d61",
631
    00000620 => x"05136505",
632
    00000621 => x"24291dc5",
633
    00000622 => x"00040537",
634
    00000623 => x"33e98d61",
635
    00000624 => x"05136505",
636
    00000625 => x"2aed1e85",
637
    00000626 => x"00080537",
638
    00000627 => x"3b6d8d61",
639
    00000628 => x"05136505",
640
    00000629 => x"22ed1f45",
641
    00000630 => x"00100537",
642
    00000631 => x"336d8d61",
643
    00000632 => x"05136505",
644
    00000633 => x"2ae92005",
645
    00000634 => x"00200537",
646
    00000635 => x"3b698d61",
647
    00000636 => x"05136505",
648
    00000637 => x"22e920c5",
649
    00000638 => x"00400537",
650
    00000639 => x"33698d61",
651
    00000640 => x"05136505",
652
    00000641 => x"2a6d2185",
653
    00000642 => x"00800537",
654
    00000643 => x"3bad8d61",
655
    00000644 => x"05136505",
656
    00000645 => x"226d2245",
657
    00000646 => x"01000537",
658
    00000647 => x"33ad8d61",
659
    00000648 => x"05136505",
660
    00000649 => x"2a692305",
661
    00000650 => x"02000537",
662
    00000651 => x"44628d61",
663
    00000652 => x"44d240f2",
664
    00000653 => x"61054942",
665
    00000654 => x"4705bb81",
666
    00000655 => x"00e78963",
667
    00000656 => x"9a634709",
668
    00000657 => x"650500e7",
669
    00000658 => x"08850513",
670
    00000659 => x"6505bda5",
671
    00000660 => x"07850513",
672
    00000661 => x"6505bd85",
673
    00000662 => x"08050513",
674
    00000663 => x"6505b5a5",
675
    00000664 => x"23c50513",
676
    00000665 => x"2503a281",
677
    00000666 => x"8145fe80",
678
    00000667 => x"80828905",
679
    00000668 => x"c02a1141",
680
    00000669 => x"0793c22e",
681
    00000670 => x"a023f900",
682
    00000671 => x"47120007",
683
    00000672 => x"f8e02a23",
684
    00000673 => x"c3984702",
685
    00000674 => x"80820141",
686
    00000675 => x"27831141",
687
    00000676 => x"2703f940",
688
    00000677 => x"2683f900",
689
    00000678 => x"9ae3f940",
690
    00000679 => x"c03afed7",
691
    00000680 => x"4502c23e",
692
    00000681 => x"01414592",
693
    00000682 => x"06938082",
694
    00000683 => x"567df980",
695
    00000684 => x"c288c290",
696
    00000685 => x"8082c2cc",
697
    00000686 => x"68051141",
698
    00000687 => x"00058523",
699
    00000688 => x"00544781",
700
    00000689 => x"2b080813",
701
    00000690 => x"76334729",
702
    00000691 => x"88b302e5",
703
    00000692 => x"078500f6",
704
    00000693 => x"46039642",
705
    00000694 => x"55330006",
706
    00000695 => x"802302e5",
707
    00000696 => x"94e300c8",
708
    00000697 => x"8636fee7",
709
    00000698 => x"05134725",
710
    00000699 => x"48030300",
711
    00000700 => x"87ba0096",
712
    00000701 => x"0742177d",
713
    00000702 => x"17638341",
714
    00000703 => x"04a300a8",
715
    00000704 => x"167d0006",
716
    00000705 => x"4781f76d",
717
    00000706 => x"470197b6",
718
    00000707 => x"0007c603",
719
    00000708 => x"0513ca09",
720
    00000709 => x"972e0017",
721
    00000710 => x"00c70023",
722
    00000711 => x"01051713",
723
    00000712 => x"86138341",
724
    00000713 => x"9763fff7",
725
    00000714 => x"95ba00f6",
726
    00000715 => x"00058023",
727
    00000716 => x"80820141",
728
    00000717 => x"bfd987b2",
729
    00000718 => x"fe802503",
730
    00000719 => x"89058149",
731
    00000720 => x"20238082",
732
    00000721 => x"2683fa00",
733
    00000722 => x"0506fe00",
734
    00000723 => x"f5634701",
735
    00000724 => x"668502a6",
736
    00000725 => x"16f94781",
737
    00000726 => x"02e6e763",
738
    00000727 => x"89858a05",
739
    00000728 => x"067a07e2",
740
    00000729 => x"05f68fd1",
741
    00000730 => x"8fd98fcd",
742
    00000731 => x"10000737",
743
    00000732 => x"20238fd9",
744
    00000733 => x"8082faf0",
745
    00000734 => x"00170793",
746
    00000735 => x"01079713",
747
    00000736 => x"83418e89",
748
    00000737 => x"8513b7e9",
749
    00000738 => x"7513ffe7",
750
    00000739 => x"e5110fd5",
751
    00000740 => x"0785830d",
752
    00000741 => x"0ff7f793",
753
    00000742 => x"8305b7c1",
754
    00000743 => x"2423bfdd",
755
    00000744 => x"8082fca0",
756
    00000745 => x"46b54729",
757
    00000746 => x"00054783",
758
    00000747 => x"e3910505",
759
    00000748 => x"94638082",
760
    00000749 => x"242300e7",
761
    00000750 => x"2423fcd0",
762
    00000751 => x"b7edfcf0",
763
    00000752 => x"d422715d",
764
    00000753 => x"6405c2be",
765
    00000754 => x"d226185c",
766
    00000755 => x"cc52ce4e",
767
    00000756 => x"c85aca56",
768
    00000757 => x"d04ad606",
769
    00000758 => x"dc32da2e",
770
    00000759 => x"c0bade36",
771
    00000760 => x"c6c6c4c2",
772
    00000761 => x"0993c03e",
773
    00000762 => x"4a290250",
774
    00000763 => x"04934ab5",
775
    00000764 => x"0b130730",
776
    00000765 => x"04130750",
777
    00000766 => x"47832bc4",
778
    00000767 => x"c39d0005",
779
    00000768 => x"0d379463",
780
    00000769 => x"00154783",
781
    00000770 => x"00250913",
782
    00000771 => x"06978563",
783
    00000772 => x"02f4e463",
784
    00000773 => x"06300713",
785
    00000774 => x"06e78763",
786
    00000775 => x"06900713",
787
    00000776 => x"06e78c63",
788
    00000777 => x"542250b2",
789
    00000778 => x"59025492",
790
    00000779 => x"4a6249f2",
791
    00000780 => x"4b424ad2",
792
    00000781 => x"80826161",
793
    00000782 => x"09678163",
794
    00000783 => x"07800713",
795
    00000784 => x"fee792e3",
796
    00000785 => x"00544782",
797
    00000786 => x"438c8536",
798
    00000787 => x"00478713",
799
    00000788 => x"0613c03a",
800
    00000789 => x"47010200",
801
    00000790 => x"00e5d7b3",
802
    00000791 => x"97a28bbd",
803
    00000792 => x"0007c783",
804
    00000793 => x"16fd0711",
805
    00000794 => x"00f68423",
806
    00000795 => x"fec716e3",
807
    00000796 => x"00010623",
808
    00000797 => x"4782a031",
809
    00000798 => x"87134388",
810
    00000799 => x"c03a0047",
811
    00000800 => x"854a3715",
812
    00000801 => x"4782bf9d",
813
    00000802 => x"00478713",
814
    00000803 => x"0007c783",
815
    00000804 => x"2423c03a",
816
    00000805 => x"b7f5fcf0",
817
    00000806 => x"43884782",
818
    00000807 => x"00478713",
819
    00000808 => x"5863c03a",
820
    00000809 => x"07930005",
821
    00000810 => x"053302d0",
822
    00000811 => x"242340a0",
823
    00000812 => x"004cfcf0",
824
    00000813 => x"00483511",
825
    00000814 => x"4782b7e1",
826
    00000815 => x"8713004c",
827
    00000816 => x"43880047",
828
    00000817 => x"b7fdc03a",
829
    00000818 => x"01479463",
830
    00000819 => x"fd502423",
831
    00000820 => x"00150913",
832
    00000821 => x"7693bf7d",
833
    00000822 => x"470d0fb5",
834
    00000823 => x"866387aa",
835
    00000824 => x"472d00e6",
836
    00000825 => x"98634505",
837
    00000826 => x"450500e7",
838
    00000827 => x"00f517b3",
839
    00000828 => x"3047a073",
840
    00000829 => x"80824501",
841
    00000830 => x"f8800713",
842
    00000831 => x"e693431c",
843
    00000832 => x"c3140087",
844
    00000833 => x"07378b8d",
845
    00000834 => x"078a8000",
846
    00000835 => x"08870713",
847
    00000836 => x"a30397ba",
848
    00000837 => x"83020007",
849
    00000838 => x"fe802503",
850
    00000839 => x"8905815d",
851
    00000840 => x"479d8082",
852
    00000841 => x"00a7fa63",
853
    00000842 => x"80824505",
854
    00000843 => x"40b24505",
855
    00000844 => x"44924422",
856
    00000845 => x"80820141",
857
    00000846 => x"c2261141",
858
    00000847 => x"658584ae",
859
    00000848 => x"8593c422",
860
    00000849 => x"842acf85",
861
    00000850 => x"c606456d",
862
    00000851 => x"a4fff0ef",
863
    00000852 => x"07b7fd71",
864
    00000853 => x"17138000",
865
    00000854 => x"87930024",
866
    00000855 => x"97ba0887",
867
    00000856 => x"2703c384",
868
    00000857 => x"0421f880",
869
    00000858 => x"97b34785",
870
    00000859 => x"8fd90087",
871
    00000860 => x"0107e793",
872
    00000861 => x"f8f02423",
873
    00000862 => x"479dbf5d",
874
    00000863 => x"00a7ed63",
875
    00000864 => x"f8802783",
876
    00000865 => x"8d5d0542",
877
    00000866 => x"000807b7",
878
    00000867 => x"24238d5d",
879
    00000868 => x"4501f8a0",
880
    00000869 => x"45058082",
881
    00000870 => x"00008082",
882
    00000871 => x"2d2d0a0a",
883
    00000872 => x"2d2d2d2d",
884
    00000873 => x"55504320",
885
    00000874 => x"53455420",
886
    00000875 => x"2d2d2054",
887
    00000876 => x"2d2d2d2d",
888
    00000877 => x"00000a0a",
889
    00000878 => x"74530a0a",
890
    00000879 => x"69747261",
891
    00000880 => x"7420676e",
892
    00000881 => x"73747365",
893
    00000882 => x"0a2e2e2e",
894
    00000883 => x"0000000a",
895
    00000884 => x"20455452",
896
    00000885 => x"74736e69",
897
    00000886 => x"206c6c61",
898
    00000887 => x"6f727265",
899
    00000888 => x"000a2172",
900
    00000889 => x"43494c43",
901
    00000890 => x"736e6920",
902
    00000891 => x"6c6c6174",
903
    00000892 => x"72726520",
904
    00000893 => x"0a21726f",
905
    00000894 => x"00000000",
906
    00000895 => x"4d454d49",
907
    00000896 => x"5345545f",
908
    00000897 => x"20203a54",
909
    00000898 => x"00000020",
910
    00000899 => x"70696b73",
911
    00000900 => x"20646570",
912
    00000901 => x"73696428",
913
    00000902 => x"656c6261",
914
    00000903 => x"000a2964",
915
    00000904 => x"4d454d44",
916
    00000905 => x"5345545f",
917
    00000906 => x"20203a54",
918
    00000907 => x"00000020",
919
    00000908 => x"4359434d",
920
    00000909 => x"485b454c",
921
    00000910 => x"20203a5d",
922
    00000911 => x"00000020",
923
    00000912 => x"000a6b6f",
924
    00000913 => x"6c696166",
925
    00000914 => x"0000000a",
926
    00000915 => x"534e494d",
927
    00000916 => x"54455254",
928
    00000917 => x"3a5d485b",
929
    00000918 => x"00000020",
930
    00000919 => x"454d4954",
931
    00000920 => x"3a5d485b",
932
    00000921 => x"20202020",
933
    00000922 => x"00000020",
934
    00000923 => x"434e4546",
935
    00000924 => x"492e2845",
936
    00000925 => x"20203a29",
937
    00000926 => x"00000020",
938
    00000927 => x"20435845",
939
    00000928 => x"4c415f49",
940
    00000929 => x"3a4e4749",
941
    00000930 => x"00000020",
942
    00000931 => x"70696b73",
943
    00000932 => x"20646570",
944
    00000933 => x"746f6e28",
945
    00000934 => x"736f7020",
946
    00000935 => x"6c626973",
947
    00000936 => x"68772065",
948
    00000937 => x"43206e65",
949
    00000938 => x"5458452d",
950
    00000939 => x"616e6520",
951
    00000940 => x"64656c62",
952
    00000941 => x"00000a29",
953
    00000942 => x"20435845",
954
    00000943 => x"43415f49",
955
    00000944 => x"20203a43",
956
    00000945 => x"00000020",
957
    00000946 => x"20435845",
958
    00000947 => x"4c495f49",
959
    00000948 => x"3a47454c",
960
    00000949 => x"00000020",
961
    00000950 => x"20435845",
962
    00000951 => x"41455242",
963
    00000952 => x"20203a4b",
964
    00000953 => x"00000020",
965
    00000954 => x"20435845",
966
    00000955 => x"4c415f4c",
967
    00000956 => x"3a4e4749",
968
    00000957 => x"00000020",
969
    00000958 => x"20435845",
970
    00000959 => x"43415f4c",
971
    00000960 => x"20203a43",
972
    00000961 => x"00000020",
973
    00000962 => x"20435845",
974
    00000963 => x"4c415f53",
975
    00000964 => x"3a4e4749",
976
    00000965 => x"00000020",
977
    00000966 => x"20435845",
978
    00000967 => x"43415f53",
979
    00000968 => x"20203a43",
980
    00000969 => x"00000020",
981
    00000970 => x"20435845",
982
    00000971 => x"43564e45",
983
    00000972 => x"3a4c4c41",
984
    00000973 => x"00000020",
985
    00000974 => x"20515249",
986
    00000975 => x"3a49544d",
987
    00000976 => x"20202020",
988
    00000977 => x"00000020",
989
    00000978 => x"20515249",
990
    00000979 => x"3a49454d",
991
    00000980 => x"20202020",
992
    00000981 => x"00000020",
993
    00000982 => x"3a494657",
994
    00000983 => x"20202020",
995
    00000984 => x"20202020",
996
    00000985 => x"00000020",
997
    00000986 => x"65540a0a",
998
    00000987 => x"3a737473",
999
    00000988 => x"0a692520",
1000
    00000989 => x"203a4b4f",
1001
    00000990 => x"25202020",
1002
    00000991 => x"41460a69",
1003
    00000992 => x"203a4c49",
1004
    00000993 => x"0a692520",
1005
    00000994 => x"0000000a",
1006
    00000995 => x"54534554",
1007
    00000996 => x"214b4f20",
1008
    00000997 => x"0000000a",
1009
    00000998 => x"54534554",
1010
    00000999 => x"49414620",
1011
    00001000 => x"2144454c",
1012
    00001001 => x"0000000a",
1013
    00001002 => x"65757254",
1014
    00001003 => x"0000000a",
1015
    00001004 => x"736c6146",
1016
    00001005 => x"00000a65",
1017
    00001006 => x"3c3c0a0a",
1018
    00001007 => x"4f454e20",
1019
    00001008 => x"32335652",
1020
    00001009 => x"72614820",
1021
    00001010 => x"72617764",
1022
    00001011 => x"6f432065",
1023
    00001012 => x"6769666e",
1024
    00001013 => x"74617275",
1025
    00001014 => x"206e6f69",
1026
    00001015 => x"7265764f",
1027
    00001016 => x"77656976",
1028
    00001017 => x"0a3e3e20",
1029
    00001018 => x"00000000",
1030
    00001019 => x"202d2d0a",
1031
    00001020 => x"746e6543",
1032
    00001021 => x"206c6172",
1033
    00001022 => x"636f7250",
1034
    00001023 => x"69737365",
1035
    00001024 => x"5520676e",
1036
    00001025 => x"2074696e",
1037
    00001026 => x"000a2d2d",
1038
    00001027 => x"74726148",
1039
    00001028 => x"3a444920",
1040
    00001029 => x"20202020",
1041
    00001030 => x"20202020",
1042
    00001031 => x"78302020",
1043
    00001032 => x"000a7825",
1044
    00001033 => x"72657355",
1045
    00001034 => x"646f6320",
1046
    00001035 => x"20203a65",
1047
    00001036 => x"20202020",
1048
    00001037 => x"78302020",
1049
    00001038 => x"000a7825",
1050
    00001039 => x"64726148",
1051
    00001040 => x"65726177",
1052
    00001041 => x"72657620",
1053
    00001042 => x"6e6f6973",
1054
    00001043 => x"0000203a",
1055
    00001044 => x"78302820",
1056
    00001045 => x"0a297825",
1057
    00001046 => x"00000000",
1058
    00001047 => x"68637241",
1059
    00001048 => x"63657469",
1060
    00001049 => x"65727574",
1061
    00001050 => x"2020203a",
1062
    00001051 => x"00002020",
1063
    00001052 => x"6e6b6e75",
1064
    00001053 => x"006e776f",
1065
    00001054 => x"32335652",
1066
    00001055 => x"00000000",
1067
    00001056 => x"32315652",
1068
    00001057 => x"00000038",
1069
    00001058 => x"34365652",
1070
    00001059 => x"00000000",
1071
    00001060 => x"5550430a",
1072
    00001061 => x"74786520",
1073
    00001062 => x"69736e65",
1074
    00001063 => x"3a736e6f",
1075
    00001064 => x"00202020",
1076
    00001065 => x"25783028",
1077
    00001066 => x"000a2978",
1078
    00001067 => x"636f6c43",
1079
    00001068 => x"7073206b",
1080
    00001069 => x"3a646565",
1081
    00001070 => x"20202020",
1082
    00001071 => x"75252020",
1083
    00001072 => x"0a7a4820",
1084
    00001073 => x"00000000",
1085
    00001074 => x"202d2d0a",
1086
    00001075 => x"6f6d654d",
1087
    00001076 => x"43207972",
1088
    00001077 => x"69666e6f",
1089
    00001078 => x"61727567",
1090
    00001079 => x"6e6f6974",
1091
    00001080 => x"0a2d2d20",
1092
    00001081 => x"00000000",
1093
    00001082 => x"74736e49",
1094
    00001083 => x"74637572",
1095
    00001084 => x"206e6f69",
1096
    00001085 => x"6f6d656d",
1097
    00001086 => x"203a7972",
1098
    00001087 => x"75252020",
1099
    00001088 => x"74796220",
1100
    00001089 => x"40207365",
1101
    00001090 => x"25783020",
1102
    00001091 => x"00000a78",
1103
    00001092 => x"65746e49",
1104
    00001093 => x"6c616e72",
1105
    00001094 => x"454d4920",
1106
    00001095 => x"20203a4d",
1107
    00001096 => x"20202020",
1108
    00001097 => x"00002020",
1109
    00001098 => x"65746e49",
1110
    00001099 => x"6c616e72",
1111
    00001100 => x"454d4920",
1112
    00001101 => x"7361204d",
1113
    00001102 => x"4d4f5220",
1114
    00001103 => x"0000203a",
1115
    00001104 => x"61746144",
1116
    00001105 => x"6d656d20",
1117
    00001106 => x"3a79726f",
1118
    00001107 => x"20202020",
1119
    00001108 => x"20202020",
1120
    00001109 => x"75252020",
1121
    00001110 => x"74796220",
1122
    00001111 => x"40207365",
1123
    00001112 => x"25783020",
1124
    00001113 => x"00000a78",
1125
    00001114 => x"65746e49",
1126
    00001115 => x"6c616e72",
1127
    00001116 => x"454d4420",
1128
    00001117 => x"20203a4d",
1129
    00001118 => x"20202020",
1130
    00001119 => x"00002020",
1131
    00001120 => x"746f6f42",
1132
    00001121 => x"64616f6c",
1133
    00001122 => x"203a7265",
1134
    00001123 => x"20202020",
1135
    00001124 => x"20202020",
1136
    00001125 => x"00002020",
1137
    00001126 => x"65747845",
1138
    00001127 => x"6c616e72",
1139
    00001128 => x"746e6920",
1140
    00001129 => x"61667265",
1141
    00001130 => x"203a6563",
1142
    00001131 => x"00002020",
1143
    00001132 => x"202d2d0a",
1144
    00001133 => x"69726550",
1145
    00001134 => x"72656870",
1146
    00001135 => x"20736c61",
1147
    00001136 => x"000a2d2d",
1148
    00001137 => x"4f495047",
1149
    00001138 => x"2020203a",
1150
    00001139 => x"00000020",
1151
    00001140 => x"4d49544d",
1152
    00001141 => x"20203a45",
1153
    00001142 => x"00000020",
1154
    00001143 => x"54524155",
1155
    00001144 => x"2020203a",
1156
    00001145 => x"00000020",
1157
    00001146 => x"3a495053",
1158
    00001147 => x"20202020",
1159
    00001148 => x"00000020",
1160
    00001149 => x"3a495754",
1161
    00001150 => x"20202020",
1162
    00001151 => x"00000020",
1163
    00001152 => x"3a4d5750",
1164
    00001153 => x"20202020",
1165
    00001154 => x"00000020",
1166
    00001155 => x"3a544457",
1167
    00001156 => x"20202020",
1168
    00001157 => x"00000020",
1169
    00001158 => x"43494c43",
1170
    00001159 => x"2020203a",
1171
    00001160 => x"00000020",
1172
    00001161 => x"474e5254",
1173
    00001162 => x"2020203a",
1174
    00001163 => x"00000020",
1175
    00001164 => x"4e564544",
1176
    00001165 => x"3a4c4c55",
1177
    00001166 => x"00000020",
1178
    00001167 => x"68540a0a",
1179
    00001168 => x"454e2065",
1180
    00001169 => x"3356524f",
1181
    00001170 => x"72502032",
1182
    00001171 => x"7365636f",
1183
    00001172 => x"20726f73",
1184
    00001173 => x"6a6f7250",
1185
    00001174 => x"0a746365",
1186
    00001175 => x"53207962",
1187
    00001176 => x"68706574",
1188
    00001177 => x"4e206e61",
1189
    00001178 => x"69746c6f",
1190
    00001179 => x"680a676e",
1191
    00001180 => x"73707474",
1192
    00001181 => x"672f2f3a",
1193
    00001182 => x"75687469",
1194
    00001183 => x"6f632e62",
1195
    00001184 => x"74732f6d",
1196
    00001185 => x"746c6f6e",
1197
    00001186 => x"2f676e69",
1198
    00001187 => x"726f656e",
1199
    00001188 => x"0a323376",
1200
    00001189 => x"6564616d",
1201
    00001190 => x"206e6920",
1202
    00001191 => x"6e6e6148",
1203
    00001192 => x"7265766f",
1204
    00001193 => x"6547202c",
1205
    00001194 => x"6e616d72",
1206
    00001195 => x"000a0a79",
1207
    00001196 => x"33323130",
1208
    00001197 => x"37363534",
1209
    00001198 => x"00003938",
1210
    00001199 => x"33323130",
1211
    00001200 => x"37363534",
1212
    00001201 => x"62613938",
1213
    00001202 => x"66656463",
1214
    00001203 => x"dead007f",
1215
    00001204 => x"00008067",
1216 2 zero_gravi
    others   => x"00000000"
1217
  );
1218
 
1219
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.