OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 3 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <test_exceptions/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_application_image is
8
 
9
  type application_init_image_t is array (0 to 65535) of std_ulogic_vector(31 downto 0);
10
  constant application_init_image : application_init_image_t := (
11 3 zero_gravi
    00000000 => x"00930001",
12
    00000001 => x"81130000",
13
    00000002 => x"01930000",
14
    00000003 => x"82130001",
15
    00000004 => x"02930001",
16
    00000005 => x"83130002",
17
    00000006 => x"03930002",
18
    00000007 => x"84130003",
19
    00000008 => x"04930003",
20
    00000009 => x"85130004",
21
    00000010 => x"05930004",
22
    00000011 => x"86130005",
23
    00000012 => x"06930005",
24
    00000013 => x"87130006",
25
    00000014 => x"07930006",
26
    00000015 => x"88130007",
27
    00000016 => x"08930007",
28
    00000017 => x"89130008",
29
    00000018 => x"09930008",
30
    00000019 => x"8a130009",
31
    00000020 => x"0a930009",
32
    00000021 => x"8b13000a",
33
    00000022 => x"0b93000a",
34
    00000023 => x"8c13000b",
35
    00000024 => x"0c93000b",
36
    00000025 => x"8d13000c",
37
    00000026 => x"0d93000c",
38
    00000027 => x"8e13000d",
39
    00000028 => x"0e93000d",
40
    00000029 => x"8f13000e",
41
    00000030 => x"0f93000e",
42
    00000031 => x"0001000f",
43
    00000032 => x"fc5015f3",
44
    00000033 => x"fc701673",
45
    00000034 => x"00c58133",
46
    00000035 => x"04131171",
47
    00000036 => x"01970001",
48
    00000037 => x"81938000",
49
    00000038 => x"05977ee1",
50
    00000039 => x"85930000",
51
    00000040 => x"90730925",
52
    00000041 => x"15f33055",
53
    00000042 => x"0617fc50",
54
    00000043 => x"06130000",
55
    00000044 => x"06931486",
56
    00000045 => x"c1900200",
57
    00000046 => x"16fd0591",
58
    00000047 => x"fed01de3",
59
    00000048 => x"f8000593",
60
    00000049 => x"0005a023",
61
    00000050 => x"1de30591",
62
    00000051 => x"55fdfeb0",
63
    00000052 => x"f8b02c23",
64
    00000053 => x"f8b02e23",
65
    00000054 => x"80818593",
66
    00000055 => x"82c18613",
67
    00000056 => x"00c5d663",
68
    00000057 => x"00058023",
69
    00000058 => x"bfdd0585",
70
    00000059 => x"00001597",
71
    00000060 => x"a2c58593",
72
    00000061 => x"80000617",
73
    00000062 => x"f8c60613",
74
    00000063 => x"80818693",
75
    00000064 => x"00d65963",
76
    00000065 => x"00058703",
77
    00000066 => x"00e60023",
78
    00000067 => x"06050585",
79
    00000068 => x"0513bfc5",
80
    00000069 => x"05930000",
81
    00000070 => x"00ef0000",
82
    00000071 => x"00730dc0",
83
    00000072 => x"70731050",
84
    00000073 => x"00733004",
85
    00000074 => x"a0011050",
86
    00000075 => x"f8810113",
87
    00000076 => x"c20ec006",
88
    00000077 => x"c616c412",
89
    00000078 => x"ca1ec81a",
90
    00000079 => x"ce26cc22",
91
    00000080 => x"d22ed02a",
92
    00000081 => x"d636d432",
93
    00000082 => x"da3ed83a",
94
    00000083 => x"de46dc42",
95
    00000084 => x"c2cec0ca",
96
    00000085 => x"c6d6c4d2",
97
    00000086 => x"cadec8da",
98
    00000087 => x"cee6cce2",
99
    00000088 => x"d2eed0ea",
100
    00000089 => x"d6f6d4f2",
101
    00000090 => x"dafed8fa",
102
    00000091 => x"342012f3",
103
    00000092 => x"00f2f313",
104
    00000093 => x"10f3030a",
105
    00000094 => x"9306fc50",
106
    00000095 => x"341010f3",
107
    00000096 => x"0002c963",
108
    00000097 => x"34a012f3",
109
    00000098 => x"0022f293",
110
    00000099 => x"90960089",
111
    00000100 => x"0313a019",
112
    00000101 => x"22830403",
113
    00000102 => x"11710003",
114
    00000103 => x"9073c006",
115
    00000104 => x"80e73400",
116
    00000105 => x"40820002",
117
    00000106 => x"90730111",
118
    00000107 => x"40823410",
119
    00000108 => x"42224192",
120
    00000109 => x"434242b2",
121
    00000110 => x"446243d2",
122
    00000111 => x"550244f2",
123
    00000112 => x"56225592",
124
    00000113 => x"574256b2",
125
    00000114 => x"586257d2",
126
    00000115 => x"490658f2",
127
    00000116 => x"4a264996",
128
    00000117 => x"4b464ab6",
129
    00000118 => x"4c664bd6",
130
    00000119 => x"5d064cf6",
131
    00000120 => x"5e265d96",
132
    00000121 => x"5f465eb6",
133
    00000122 => x"01135fd6",
134
    00000123 => x"00730781",
135
    00000124 => x"80823020",
136
    00000125 => x"11010000",
137
    00000126 => x"cc22ce06",
138
    00000127 => x"c84aca26",
139
    00000128 => x"06632b0d",
140
    00000129 => x"00ef0a05",
141
    00000130 => x"02637100",
142
    00000131 => x"21710a05",
143
    00000132 => x"08050f63",
144
    00000133 => x"46016515",
145
    00000134 => x"05134581",
146
    00000135 => x"2b39b005",
147
    00000136 => x"557d55fd",
148
    00000137 => x"65052141",
149
    00000138 => x"99450513",
150
    00000139 => x"05932361",
151
    00000140 => x"45015a00",
152
    00000141 => x"842a2921",
153
    00000142 => x"5ae00593",
154
    00000143 => x"21394505",
155
    00000144 => x"0593942a",
156
    00000145 => x"45095bc0",
157
    00000146 => x"942a2111",
158
    00000147 => x"5ca00593",
159
    00000148 => x"2eed450d",
160
    00000149 => x"0593942a",
161
    00000150 => x"45115d80",
162
    00000151 => x"942a2ec5",
163
    00000152 => x"5e600593",
164
    00000153 => x"26dd4515",
165
    00000154 => x"0593942a",
166
    00000155 => x"45195f40",
167
    00000156 => x"45812ef1",
168
    00000157 => x"0593942a",
169
    00000158 => x"451d6020",
170
    00000159 => x"45812ec1",
171
    00000160 => x"0593942a",
172
    00000161 => x"452d6100",
173
    00000162 => x"458126d1",
174
    00000163 => x"0593942a",
175
    00000164 => x"454d61e0",
176
    00000165 => x"45812e65",
177
    00000166 => x"0593942a",
178
    00000167 => x"455d63a0",
179
    00000168 => x"942a2675",
180
    00000169 => x"6505cc01",
181
    00000170 => x"9c850513",
182
    00000171 => x"40f22321",
183
    00000172 => x"44d24462",
184
    00000173 => x"45014942",
185
    00000174 => x"80826105",
186
    00000175 => x"05934581",
187
    00000176 => x"450162c0",
188
    00000177 => x"65c000ef",
189
    00000178 => x"c509842a",
190
    00000179 => x"05136505",
191
    00000180 => x"bfe99d85",
192
    00000181 => x"5f6000ef",
193
    00000182 => x"05136505",
194
    00000183 => x"a4239f05",
195
    00000184 => x"29c98201",
196
    00000185 => x"97824785",
197
    00000186 => x"8281a703",
198
    00000187 => x"123457b7",
199
    00000188 => x"67878793",
200
    00000189 => x"20f71963",
201
    00000190 => x"05136505",
202
    00000191 => x"295da005",
203
    00000192 => x"65054905",
204
    00000193 => x"a0c50513",
205
    00000194 => x"8201a423",
206
    00000195 => x"07932165",
207
    00000196 => x"9782f000",
208
    00000197 => x"8281a703",
209
    00000198 => x"aabb17b7",
210
    00000199 => x"13378793",
211
    00000200 => x"1ef71a63",
212
    00000201 => x"05136505",
213
    00000202 => x"2169a005",
214
    00000203 => x"65050905",
215
    00000204 => x"a1c50513",
216
    00000205 => x"8201a423",
217
    00000206 => x"07b729b5",
218
    00000207 => x"87938000",
219
    00000208 => x"80e70807",
220
    00000209 => x"a7030007",
221
    00000210 => x"27b78281",
222
    00000211 => x"87930199",
223
    00000212 => x"176303b7",
224
    00000213 => x"65051cf7",
225
    00000214 => x"a0050513",
226
    00000215 => x"090529a1",
227
    00000216 => x"05136505",
228
    00000217 => x"a423a2c5",
229
    00000218 => x"21a98201",
230
    00000219 => x"a7039002",
231
    00000220 => x"27b78281",
232
    00000221 => x"87931232",
233
    00000222 => x"19633307",
234
    00000223 => x"65051af7",
235
    00000224 => x"a0050513",
236
    00000225 => x"09052905",
237
    00000226 => x"05136505",
238
    00000227 => x"a423a3c5",
239
    00000228 => x"210d8201",
240
    00000229 => x"00101003",
241
    00000230 => x"8281a703",
242
    00000231 => x"babcd7b7",
243
    00000232 => x"ccc78793",
244
    00000233 => x"18f71a63",
245
    00000234 => x"05136505",
246
    00000235 => x"2119a005",
247
    00000236 => x"65050905",
248
    00000237 => x"a4c50513",
249
    00000238 => x"8201a423",
250
    00000239 => x"27832ee5",
251
    00000240 => x"c63ef000",
252
    00000241 => x"8281a703",
253
    00000242 => x"def737b7",
254
    00000243 => x"8aa78793",
255
    00000244 => x"16f71a63",
256
    00000245 => x"05136505",
257
    00000246 => x"2ee9a005",
258
    00000247 => x"65050905",
259
    00000248 => x"a5c50513",
260
    00000249 => x"8201a423",
261
    00000250 => x"10a326f1",
262
    00000251 => x"a7030000",
263
    00000252 => x"27b78281",
264
    00000253 => x"8793ff09",
265
    00000254 => x"1b637dd7",
266
    00000255 => x"650514f7",
267
    00000256 => x"a0050513",
268
    00000257 => x"09052e45",
269
    00000258 => x"05136505",
270
    00000259 => x"a423a6c5",
271
    00000260 => x"264d8201",
272
    00000261 => x"f0002023",
273
    00000262 => x"8281a703",
274
    00000263 => x"200917b7",
275
    00000264 => x"77778793",
276
    00000265 => x"12f71c63",
277
    00000266 => x"05136505",
278
    00000267 => x"2659a005",
279
    00000268 => x"65050905",
280
    00000269 => x"a7c50513",
281
    00000270 => x"8201a423",
282
    00000271 => x"00732ea5",
283
    00000272 => x"a7030000",
284
    00000273 => x"27b78281",
285
    00000274 => x"87935566",
286
    00000275 => x"1d632447",
287
    00000276 => x"650510f7",
288
    00000277 => x"a0050513",
289
    00000278 => x"09052eb1",
290
    00000279 => x"05136505",
291
    00000280 => x"a423a8c5",
292
    00000281 => x"26b98201",
293
    00000282 => x"a7032169",
294
    00000283 => x"e7b78281",
295
    00000284 => x"8793cdec",
296
    00000285 => x"1f63ea97",
297
    00000286 => x"65050ef7",
298
    00000287 => x"a0050513",
299
    00000288 => x"09052e15",
300
    00000289 => x"05136505",
301
    00000290 => x"a423a9c5",
302
    00000291 => x"261d8201",
303
    00000292 => x"45814501",
304
    00000293 => x"00012c01",
305
    00000294 => x"00010001",
306
    00000295 => x"a7030001",
307
    00000296 => x"07b78281",
308
    00000297 => x"87930013",
309
    00000298 => x"1b63a537",
310
    00000299 => x"65050cf7",
311
    00000300 => x"a0050513",
312
    00000301 => x"09052601",
313
    00000302 => x"05136505",
314
    00000303 => x"a423aac5",
315
    00000304 => x"2ccd8201",
316
    00000305 => x"21754501",
317
    00000306 => x"00010001",
318
    00000307 => x"00010001",
319
    00000308 => x"8281a703",
320
    00000309 => x"eef337b7",
321
    00000310 => x"08878793",
322
    00000311 => x"0af71863",
323
    00000312 => x"05136505",
324
    00000313 => x"24f9a005",
325
    00000314 => x"65050905",
326
    00000315 => x"864a86a2",
327
    00000316 => x"051345b1",
328
    00000317 => x"a423abc5",
329
    00000318 => x"2c6d8201",
330
    00000319 => x"6505ec51",
331
    00000320 => x"ae050513",
332
    00000321 => x"6505b365",
333
    00000322 => x"a0450513",
334
    00000323 => x"49012465",
335
    00000324 => x"bbc54405",
336
    00000325 => x"05136505",
337
    00000326 => x"2c69a045",
338
    00000327 => x"bd010405",
339
    00000328 => x"05136505",
340
    00000329 => x"2479a045",
341
    00000330 => x"bd1d0405",
342
    00000331 => x"05136505",
343
    00000332 => x"2449a045",
344
    00000333 => x"bd890405",
345
    00000334 => x"05136505",
346
    00000335 => x"2c9da045",
347
    00000336 => x"bd850405",
348
    00000337 => x"05136505",
349
    00000338 => x"24ada045",
350
    00000339 => x"bd410405",
351
    00000340 => x"05136505",
352
    00000341 => x"2cb9a045",
353
    00000342 => x"b57d0405",
354
    00000343 => x"05136505",
355
    00000344 => x"2c89a045",
356
    00000345 => x"b5f10405",
357
    00000346 => x"05136505",
358
    00000347 => x"2499a045",
359
    00000348 => x"b5ed0405",
360
    00000349 => x"05136505",
361
    00000350 => x"2c2da045",
362
    00000351 => x"b7190405",
363
    00000352 => x"05136505",
364
    00000353 => x"243da045",
365
    00000354 => x"b73d0405",
366
    00000355 => x"05136505",
367
    00000356 => x"240da045",
368
    00000357 => x"bf910405",
369
    00000358 => x"05136505",
370
    00000359 => x"b339aec5",
371
    00000360 => x"123457b7",
372
    00000361 => x"67878793",
373
    00000362 => x"82f1a423",
374
    00000363 => x"17b78082",
375
    00000364 => x"8793aabb",
376
    00000365 => x"a4231337",
377
    00000366 => x"808282f1",
378
    00000367 => x"019927b7",
379
    00000368 => x"03b78793",
380
    00000369 => x"82f1a423",
381
    00000370 => x"27b78082",
382
    00000371 => x"87931232",
383
    00000372 => x"a4233307",
384
    00000373 => x"808282f1",
385
    00000374 => x"babcd7b7",
386
    00000375 => x"ccc78793",
387
    00000376 => x"82f1a423",
388
    00000377 => x"37b78082",
389
    00000378 => x"8793def7",
390
    00000379 => x"a4238aa7",
391
    00000380 => x"808282f1",
392
    00000381 => x"ff0927b7",
393
    00000382 => x"7dd78793",
394
    00000383 => x"82f1a423",
395
    00000384 => x"17b78082",
396
    00000385 => x"87932009",
397
    00000386 => x"a4237777",
398
    00000387 => x"808282f1",
399
    00000388 => x"556627b7",
400
    00000389 => x"24478793",
401
    00000390 => x"82f1a423",
402
    00000391 => x"e7b78082",
403
    00000392 => x"8793cdec",
404
    00000393 => x"a423ea97",
405
    00000394 => x"808282f1",
406
    00000395 => x"eef337b7",
407
    00000396 => x"08878793",
408
    00000397 => x"82f1a423",
409
    00000398 => x"07b78082",
410
    00000399 => x"87930013",
411
    00000400 => x"557da537",
412
    00000401 => x"a42355fd",
413
    00000402 => x"a8a982f1",
414
    00000403 => x"c4221141",
415
    00000404 => x"c606c226",
416
    00000405 => x"842a479d",
417
    00000406 => x"f36384ae",
418
    00000407 => x"47ad02a7",
419
    00000408 => x"02f50063",
420
    00000409 => x"0b6347cd",
421
    00000410 => x"47dd00f5",
422
    00000411 => x"02f50563",
423
    00000412 => x"450547ed",
424
    00000413 => x"00f41c63",
425
    00000414 => x"a011452d",
426
    00000415 => x"2c89450d",
427
    00000416 => x"fc501573",
428
    00000417 => x"942a040a",
429
    00000418 => x"4501c004",
430
    00000419 => x"442240b2",
431
    00000420 => x"01414492",
432
    00000421 => x"451d8082",
433
    00000422 => x"1573b7dd",
434
    00000423 => x"8145fc00",
435
    00000424 => x"80828905",
436
    00000425 => x"f9800693",
437
    00000426 => x"c290567d",
438
    00000427 => x"c2ccc288",
439
    00000428 => x"11418082",
440
    00000429 => x"85236805",
441
    00000430 => x"47810005",
442
    00000431 => x"08130054",
443
    00000432 => x"4729afc8",
444
    00000433 => x"02e57633",
445
    00000434 => x"00f688b3",
446
    00000435 => x"96420785",
447
    00000436 => x"00064603",
448
    00000437 => x"02e55533",
449
    00000438 => x"00c88023",
450
    00000439 => x"fee794e3",
451
    00000440 => x"47258636",
452
    00000441 => x"03000513",
453
    00000442 => x"00964803",
454
    00000443 => x"177d87ba",
455
    00000444 => x"83410742",
456
    00000445 => x"00a81763",
457
    00000446 => x"000604a3",
458
    00000447 => x"f76d167d",
459
    00000448 => x"97b64781",
460
    00000449 => x"c6034701",
461
    00000450 => x"ca090007",
462
    00000451 => x"00170513",
463
    00000452 => x"0023972e",
464
    00000453 => x"171300c7",
465
    00000454 => x"83410105",
466
    00000455 => x"fff78613",
467
    00000456 => x"00f69763",
468
    00000457 => x"802395ba",
469
    00000458 => x"01410005",
470
    00000459 => x"87b28082",
471
    00000460 => x"1573bfd9",
472
    00000461 => x"8149fc00",
473
    00000462 => x"80828905",
474
    00000463 => x"fa002023",
475
    00000464 => x"fc1016f3",
476
    00000465 => x"05064701",
477
    00000466 => x"02a6f563",
478
    00000467 => x"47816685",
479
    00000468 => x"e76316f9",
480
    00000469 => x"8a0502e6",
481
    00000470 => x"07e28985",
482
    00000471 => x"8fd1067a",
483
    00000472 => x"8fcd05f6",
484
    00000473 => x"07378fd9",
485
    00000474 => x"8fd91000",
486
    00000475 => x"faf02023",
487
    00000476 => x"07938082",
488
    00000477 => x"97130017",
489
    00000478 => x"8e890107",
490
    00000479 => x"b7e98341",
491
    00000480 => x"ffe78513",
492
    00000481 => x"0fd57513",
493
    00000482 => x"830de511",
494
    00000483 => x"f7930785",
495
    00000484 => x"b7c10ff7",
496
    00000485 => x"bfdd8305",
497
    00000486 => x"46b54729",
498
    00000487 => x"00054783",
499
    00000488 => x"e3910505",
500
    00000489 => x"94638082",
501
    00000490 => x"2e2300e7",
502
    00000491 => x"2e23fed0",
503
    00000492 => x"b7edfef0",
504
    00000493 => x"d422715d",
505
    00000494 => x"6405c2be",
506
    00000495 => x"d226185c",
507
    00000496 => x"cc52ce4e",
508
    00000497 => x"c85aca56",
509
    00000498 => x"d04ad606",
510
    00000499 => x"dc32da2e",
511
    00000500 => x"c0bade36",
512
    00000501 => x"c6c6c4c2",
513
    00000502 => x"0993c03e",
514
    00000503 => x"4a290250",
515
    00000504 => x"04934ab5",
516
    00000505 => x"0b130730",
517
    00000506 => x"04130750",
518
    00000507 => x"4783b084",
519
    00000508 => x"c39d0005",
520
    00000509 => x"0d379463",
521
    00000510 => x"00154783",
522
    00000511 => x"00250913",
523
    00000512 => x"06978563",
524
    00000513 => x"02f4e463",
525
    00000514 => x"06300713",
526
    00000515 => x"06e78763",
527
    00000516 => x"06900713",
528
    00000517 => x"06e78c63",
529
    00000518 => x"542250b2",
530
    00000519 => x"59025492",
531
    00000520 => x"4a6249f2",
532
    00000521 => x"4b424ad2",
533
    00000522 => x"80826161",
534
    00000523 => x"09678163",
535
    00000524 => x"07800713",
536
    00000525 => x"fee792e3",
537
    00000526 => x"00544782",
538
    00000527 => x"438c8536",
539
    00000528 => x"00478713",
540
    00000529 => x"0613c03a",
541
    00000530 => x"47010200",
542
    00000531 => x"00e5d7b3",
543
    00000532 => x"97a28bbd",
544
    00000533 => x"0007c783",
545
    00000534 => x"16fd0711",
546
    00000535 => x"00f68423",
547
    00000536 => x"fec716e3",
548
    00000537 => x"00010623",
549
    00000538 => x"4782a031",
550
    00000539 => x"87134388",
551
    00000540 => x"c03a0047",
552
    00000541 => x"854a3715",
553
    00000542 => x"4782bf9d",
554
    00000543 => x"00478713",
555
    00000544 => x"0007c783",
556
    00000545 => x"2e23c03a",
557
    00000546 => x"b7f5fef0",
558
    00000547 => x"43884782",
559 2 zero_gravi
    00000548 => x"00478713",
560 3 zero_gravi
    00000549 => x"5863c03a",
561
    00000550 => x"07930005",
562
    00000551 => x"053302d0",
563
    00000552 => x"2e2340a0",
564
    00000553 => x"004cfef0",
565
    00000554 => x"00483529",
566
    00000555 => x"4782b7e1",
567
    00000556 => x"8713004c",
568
    00000557 => x"43880047",
569
    00000558 => x"b7fdc03a",
570
    00000559 => x"01479463",
571
    00000560 => x"ff502e23",
572
    00000561 => x"00150913",
573
    00000562 => x"6073bf7d",
574
    00000563 => x"80823004",
575
    00000564 => x"0fb57693",
576
    00000565 => x"87aa470d",
577
    00000566 => x"00e68663",
578
    00000567 => x"4505472d",
579
    00000568 => x"00e79863",
580
    00000569 => x"17b34505",
581
    00000570 => x"a07300f5",
582
    00000571 => x"45013047",
583
    00000572 => x"47a18082",
584
    00000573 => x"3447a073",
585
    00000574 => x"07138082",
586
    00000575 => x"431cf880",
587
    00000576 => x"0087e693",
588
    00000577 => x"8b8dc314",
589
    00000578 => x"8713078a",
590
    00000579 => x"97ba8081",
591
    00000580 => x"0007a303",
592
    00000581 => x"15738302",
593
    00000582 => x"815dfc00",
594
    00000583 => x"80828905",
595
    00000584 => x"fa63479d",
596
    00000585 => x"450500a7",
597
    00000586 => x"45058082",
598
    00000587 => x"442240b2",
599
    00000588 => x"01414492",
600
    00000589 => x"11418082",
601
    00000590 => x"84aec226",
602
    00000591 => x"c4226585",
603
    00000592 => x"8fa58593",
604
    00000593 => x"456d842a",
605
    00000594 => x"3309c606",
606
    00000595 => x"1713fd79",
607
    00000596 => x"87930024",
608
    00000597 => x"97ba8081",
609
    00000598 => x"2703c384",
610
    00000599 => x"0421f880",
611
    00000600 => x"97b34785",
612
    00000601 => x"8fd90087",
613
    00000602 => x"0107e793",
614
    00000603 => x"f8f02423",
615
    00000604 => x"479dbf75",
616
    00000605 => x"00a7ed63",
617
    00000606 => x"f8802783",
618
    00000607 => x"8d5d0542",
619
    00000608 => x"000807b7",
620
    00000609 => x"24238d5d",
621
    00000610 => x"4501f8a0",
622
    00000611 => x"45058082",
623
    00000612 => x"00008082",
624
    00000613 => x"4f454e0a",
625
    00000614 => x"32335652",
626
    00000615 => x"63786520",
627
    00000616 => x"69747065",
628
    00000617 => x"20736e6f",
629
    00000618 => x"20646e61",
630
    00000619 => x"65746e69",
631
    00000620 => x"70757272",
632
    00000621 => x"74207374",
633
    00000622 => x"20747365",
634
    00000623 => x"676f7270",
635
    00000624 => x"0a6d6172",
636
    00000625 => x"0000000a",
637
    00000626 => x"74736e69",
638
    00000627 => x"206c6c61",
639
    00000628 => x"6f727265",
640
    00000629 => x"000a2172",
641
    00000630 => x"43494c43",
642
    00000631 => x"736e6920",
643
    00000632 => x"6c6c6174",
644
    00000633 => x"72726520",
645
    00000634 => x"0a21726f",
646
    00000635 => x"00000000",
647
    00000636 => x"20435845",
648
    00000637 => x"4c415f49",
649
    00000638 => x"3a4e4749",
650
    00000639 => x"00000020",
651
    00000640 => x"000a6b6f",
652
    00000641 => x"6c696166",
653
    00000642 => x"0000000a",
654
    00000643 => x"20435845",
655
    00000644 => x"43415f49",
656
    00000645 => x"20203a43",
657
    00000646 => x"00000020",
658
    00000647 => x"20435845",
659
    00000648 => x"4c495f49",
660
    00000649 => x"3a47454c",
661
    00000650 => x"00000020",
662
    00000651 => x"20435845",
663
    00000652 => x"41455242",
664
    00000653 => x"20203a4b",
665
    00000654 => x"00000020",
666
    00000655 => x"20435845",
667
    00000656 => x"4c415f4c",
668
    00000657 => x"3a4e4749",
669
    00000658 => x"00000020",
670
    00000659 => x"20435845",
671
    00000660 => x"43415f4c",
672
    00000661 => x"20203a43",
673
    00000662 => x"00000020",
674
    00000663 => x"20435845",
675
    00000664 => x"4c415f53",
676
    00000665 => x"3a4e4749",
677
    00000666 => x"00000020",
678
    00000667 => x"20435845",
679
    00000668 => x"43415f53",
680
    00000669 => x"20203a43",
681
    00000670 => x"00000020",
682
    00000671 => x"20435845",
683
    00000672 => x"43564e45",
684
    00000673 => x"3a4c4c41",
685
    00000674 => x"00000020",
686
    00000675 => x"20515249",
687
    00000676 => x"3a49534d",
688
    00000677 => x"20202020",
689
    00000678 => x"00000020",
690
    00000679 => x"20515249",
691
    00000680 => x"3a49544d",
692
    00000681 => x"20202020",
693
    00000682 => x"00000020",
694
    00000683 => x"20515249",
695
    00000684 => x"3a49454d",
696
    00000685 => x"20202020",
697
    00000686 => x"00000020",
698
    00000687 => x"65540a0a",
699
    00000688 => x"3a737473",
700
    00000689 => x"0a692520",
701
    00000690 => x"203a4b4f",
702
    00000691 => x"25202020",
703
    00000692 => x"41460a69",
704
    00000693 => x"203a4c49",
705
    00000694 => x"0a692520",
706
    00000695 => x"0000000a",
707
    00000696 => x"54534554",
708
    00000697 => x"214b4f20",
709
    00000698 => x"0000000a",
710
    00000699 => x"54534554",
711
    00000700 => x"49414620",
712
    00000701 => x"2144454c",
713
    00000702 => x"0000000a",
714
    00000703 => x"33323130",
715
    00000704 => x"37363534",
716
    00000705 => x"00003938",
717
    00000706 => x"33323130",
718
    00000707 => x"37363534",
719
    00000708 => x"62613938",
720
    00000709 => x"66656463",
721
    00000710 => x"0000007f",
722
    00000711 => x"00008067",
723 2 zero_gravi
    others   => x"00000000"
724
  );
725
 
726
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.