OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Blame information for rev 59

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 47 zero_gravi
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_application_image is
8
 
9 59 zero_gravi
  type application_init_image_t is array (0 to 1066) of std_ulogic_vector(31 downto 0);
10 2 zero_gravi
  constant application_init_image : application_init_image_t := (
11 59 zero_gravi
    00000000 => x"00000013",
12
    00000001 => x"00000093",
13
    00000002 => x"00000113",
14
    00000003 => x"00000193",
15
    00000004 => x"00000213",
16
    00000005 => x"00000293",
17
    00000006 => x"00000313",
18
    00000007 => x"00000393",
19
    00000008 => x"00000713",
20
    00000009 => x"00000793",
21
    00000010 => x"80002117",
22
    00000011 => x"fd410113",
23
    00000012 => x"ffc17113",
24
    00000013 => x"00010413",
25
    00000014 => x"80000197",
26
    00000015 => x"7c818193",
27
    00000016 => x"00000517",
28
    00000017 => x"10050513",
29
    00000018 => x"30551073",
30
    00000019 => x"34151073",
31
    00000020 => x"34301073",
32
    00000021 => x"34201073",
33
    00000022 => x"30001073",
34
    00000023 => x"30401073",
35
    00000024 => x"30601073",
36
    00000025 => x"ffa00593",
37
    00000026 => x"32059073",
38
    00000027 => x"b0001073",
39
    00000028 => x"b8001073",
40
    00000029 => x"b0201073",
41
    00000030 => x"b8201073",
42
    00000031 => x"00000813",
43
    00000032 => x"00000893",
44
    00000033 => x"00000913",
45
    00000034 => x"00000993",
46
    00000035 => x"00000a13",
47
    00000036 => x"00000a93",
48
    00000037 => x"00000b13",
49
    00000038 => x"00000b93",
50
    00000039 => x"00000c13",
51
    00000040 => x"00000c93",
52
    00000041 => x"00000d13",
53
    00000042 => x"00000d93",
54
    00000043 => x"00000e13",
55
    00000044 => x"00000e93",
56
    00000045 => x"00000f13",
57
    00000046 => x"00000f93",
58
    00000047 => x"00000417",
59
    00000048 => x"e4440413",
60
    00000049 => x"00000497",
61
    00000050 => x"f3c48493",
62
    00000051 => x"00042023",
63
    00000052 => x"00440413",
64
    00000053 => x"fe941ce3",
65
    00000054 => x"80000597",
66
    00000055 => x"f2858593",
67
    00000056 => x"87818613",
68
    00000057 => x"00c5d863",
69
    00000058 => x"00058023",
70
    00000059 => x"00158593",
71
    00000060 => x"ff5ff06f",
72
    00000061 => x"00001597",
73
    00000062 => x"fb458593",
74
    00000063 => x"80000617",
75
    00000064 => x"f0460613",
76
    00000065 => x"80000697",
77
    00000066 => x"efc68693",
78
    00000067 => x"00d65c63",
79
    00000068 => x"00058703",
80
    00000069 => x"00e60023",
81
    00000070 => x"00158593",
82
    00000071 => x"00160613",
83
    00000072 => x"fedff06f",
84
    00000073 => x"00000513",
85
    00000074 => x"00000593",
86
    00000075 => x"060000ef",
87
    00000076 => x"30047073",
88
    00000077 => x"00000013",
89
    00000078 => x"10500073",
90
    00000079 => x"0000006f",
91
    00000080 => x"ff810113",
92
    00000081 => x"00812023",
93
    00000082 => x"00912223",
94
    00000083 => x"34202473",
95
    00000084 => x"02044663",
96
    00000085 => x"34102473",
97
    00000086 => x"00041483",
98
    00000087 => x"0034f493",
99
    00000088 => x"00240413",
100
    00000089 => x"34141073",
101
    00000090 => x"00300413",
102
    00000091 => x"00941863",
103
    00000092 => x"34102473",
104
    00000093 => x"00240413",
105
    00000094 => x"34141073",
106
    00000095 => x"00012403",
107
    00000096 => x"00412483",
108
    00000097 => x"00810113",
109
    00000098 => x"30200073",
110
    00000099 => x"00005537",
111
    00000100 => x"ff010113",
112
    00000101 => x"00000613",
113
    00000102 => x"00000593",
114
    00000103 => x"b0050513",
115
    00000104 => x"00112623",
116
    00000105 => x"248000ef",
117
    00000106 => x"1e5000ef",
118
    00000107 => x"02050063",
119
    00000108 => x"135000ef",
120
    00000109 => x"00000513",
121
    00000110 => x"189000ef",
122
    00000111 => x"00001537",
123
    00000112 => x"d3050513",
124
    00000113 => x"298000ef",
125
    00000114 => x"020000ef",
126
    00000115 => x"00001537",
127
    00000116 => x"d0c50513",
128
    00000117 => x"288000ef",
129
    00000118 => x"00c12083",
130
    00000119 => x"00000513",
131
    00000120 => x"01010113",
132
    00000121 => x"00008067",
133
    00000122 => x"ff010113",
134
    00000123 => x"00000513",
135
    00000124 => x"00812423",
136
    00000125 => x"00112623",
137
    00000126 => x"00000413",
138
    00000127 => x"1a1000ef",
139
    00000128 => x"0ff47513",
140
    00000129 => x"199000ef",
141
    00000130 => x"0c800513",
142
    00000131 => x"420000ef",
143
    00000132 => x"00140413",
144
    00000133 => x"fedff06f",
145
    00000134 => x"fd010113",
146
    00000135 => x"02812423",
147
    00000136 => x"02912223",
148
    00000137 => x"03212023",
149
    00000138 => x"01312e23",
150
    00000139 => x"01412c23",
151
    00000140 => x"02112623",
152
    00000141 => x"01512a23",
153
    00000142 => x"00001a37",
154
    00000143 => x"00050493",
155
    00000144 => x"00058413",
156
    00000145 => x"00058523",
157
    00000146 => x"00000993",
158
    00000147 => x"00410913",
159
    00000148 => x"d4ca0a13",
160
    00000149 => x"00a00593",
161
    00000150 => x"00048513",
162
    00000151 => x"24d000ef",
163
    00000152 => x"00aa0533",
164
    00000153 => x"00054783",
165
    00000154 => x"01390ab3",
166
    00000155 => x"00048513",
167
    00000156 => x"00fa8023",
168
    00000157 => x"00a00593",
169
    00000158 => x"1e9000ef",
170
    00000159 => x"00198993",
171
    00000160 => x"00a00793",
172
    00000161 => x"00050493",
173
    00000162 => x"fcf996e3",
174
    00000163 => x"00090693",
175
    00000164 => x"00900713",
176
    00000165 => x"03000613",
177
    00000166 => x"0096c583",
178
    00000167 => x"00070793",
179
    00000168 => x"fff70713",
180
    00000169 => x"01071713",
181
    00000170 => x"01075713",
182
    00000171 => x"00c59a63",
183
    00000172 => x"000684a3",
184
    00000173 => x"fff68693",
185
    00000174 => x"fe0710e3",
186
    00000175 => x"00000793",
187
    00000176 => x"00f907b3",
188
    00000177 => x"00000593",
189
    00000178 => x"0007c703",
190
    00000179 => x"00070c63",
191
    00000180 => x"00158693",
192
    00000181 => x"00b405b3",
193
    00000182 => x"00e58023",
194
    00000183 => x"01069593",
195
    00000184 => x"0105d593",
196
    00000185 => x"fff78713",
197
    00000186 => x"02f91863",
198
    00000187 => x"00b40433",
199
    00000188 => x"00040023",
200
    00000189 => x"02c12083",
201
    00000190 => x"02812403",
202
    00000191 => x"02412483",
203
    00000192 => x"02012903",
204
    00000193 => x"01c12983",
205
    00000194 => x"01812a03",
206
    00000195 => x"01412a83",
207
    00000196 => x"03010113",
208
    00000197 => x"00008067",
209
    00000198 => x"00070793",
210
    00000199 => x"fadff06f",
211
    00000200 => x"00001637",
212
    00000201 => x"00758693",
213
    00000202 => x"00000713",
214
    00000203 => x"d5860613",
215
    00000204 => x"02000813",
216
    00000205 => x"00e557b3",
217
    00000206 => x"00f7f793",
218
    00000207 => x"00f607b3",
219
    00000208 => x"0007c783",
220
    00000209 => x"00470713",
221
    00000210 => x"fff68693",
222
    00000211 => x"00f680a3",
223
    00000212 => x"ff0712e3",
224
    00000213 => x"00058423",
225
    00000214 => x"00008067",
226
    00000215 => x"fa002023",
227
    00000216 => x"fe002703",
228
    00000217 => x"00151513",
229
    00000218 => x"00000793",
230
    00000219 => x"04a77463",
231
    00000220 => x"000016b7",
232
    00000221 => x"00000713",
233
    00000222 => x"ffe68693",
234
    00000223 => x"04f6e663",
235
    00000224 => x"00367613",
236
    00000225 => x"0035f593",
237
    00000226 => x"fff78793",
238
    00000227 => x"01461613",
239
    00000228 => x"00c7e7b3",
240
    00000229 => x"01659593",
241
    00000230 => x"01871713",
242
    00000231 => x"00b7e7b3",
243
    00000232 => x"00e7e7b3",
244
    00000233 => x"10000737",
245
    00000234 => x"00e7e7b3",
246
    00000235 => x"faf02023",
247
    00000236 => x"00008067",
248
    00000237 => x"00178793",
249
    00000238 => x"01079793",
250
    00000239 => x"40a70733",
251
    00000240 => x"0107d793",
252
    00000241 => x"fa9ff06f",
253
    00000242 => x"ffe70513",
254
    00000243 => x"0fd57513",
255
    00000244 => x"00051a63",
256
    00000245 => x"0037d793",
257
    00000246 => x"00170713",
258
    00000247 => x"0ff77713",
259
    00000248 => x"f9dff06f",
260
    00000249 => x"0017d793",
261
    00000250 => x"ff1ff06f",
262
    00000251 => x"f71ff06f",
263
    00000252 => x"fa002783",
264
    00000253 => x"fe07cee3",
265
    00000254 => x"faa02223",
266
    00000255 => x"00008067",
267
    00000256 => x"ff1ff06f",
268
    00000257 => x"ff010113",
269
    00000258 => x"00812423",
270
    00000259 => x"01212023",
271
    00000260 => x"00112623",
272
    00000261 => x"00912223",
273
    00000262 => x"00050413",
274
    00000263 => x"00a00913",
275
    00000264 => x"00044483",
276
    00000265 => x"00140413",
277
    00000266 => x"00049e63",
278
    00000267 => x"00c12083",
279
    00000268 => x"00812403",
280
    00000269 => x"00412483",
281
    00000270 => x"00012903",
282
    00000271 => x"01010113",
283
    00000272 => x"00008067",
284
    00000273 => x"01249663",
285
    00000274 => x"00d00513",
286
    00000275 => x"fa5ff0ef",
287
    00000276 => x"00048513",
288
    00000277 => x"f9dff0ef",
289
    00000278 => x"fc9ff06f",
290
    00000279 => x"fa9ff06f",
291
    00000280 => x"fa010113",
292
    00000281 => x"04f12a23",
293
    00000282 => x"04410793",
294
    00000283 => x"02812c23",
295
    00000284 => x"03212823",
296
    00000285 => x"03412423",
297
    00000286 => x"03512223",
298
    00000287 => x"03612023",
299
    00000288 => x"01712e23",
300
    00000289 => x"01812c23",
301
    00000290 => x"01912a23",
302
    00000291 => x"02112e23",
303
    00000292 => x"02912a23",
304
    00000293 => x"03312623",
305
    00000294 => x"00050413",
306
    00000295 => x"04b12223",
307
    00000296 => x"04c12423",
308
    00000297 => x"04d12623",
309
    00000298 => x"04e12823",
310
    00000299 => x"05012c23",
311
    00000300 => x"05112e23",
312
    00000301 => x"00f12023",
313
    00000302 => x"02500a13",
314
    00000303 => x"00a00a93",
315
    00000304 => x"07300913",
316
    00000305 => x"07500b13",
317
    00000306 => x"07800b93",
318
    00000307 => x"06300c13",
319
    00000308 => x"06900c93",
320
    00000309 => x"00044483",
321
    00000310 => x"02049c63",
322
    00000311 => x"03c12083",
323
    00000312 => x"03812403",
324
    00000313 => x"03412483",
325
    00000314 => x"03012903",
326
    00000315 => x"02c12983",
327
    00000316 => x"02812a03",
328
    00000317 => x"02412a83",
329
    00000318 => x"02012b03",
330
    00000319 => x"01c12b83",
331
    00000320 => x"01812c03",
332
    00000321 => x"01412c83",
333
    00000322 => x"06010113",
334
    00000323 => x"00008067",
335
    00000324 => x"0d449863",
336
    00000325 => x"00240993",
337
    00000326 => x"00144403",
338
    00000327 => x"05240263",
339
    00000328 => x"00896e63",
340
    00000329 => x"05840c63",
341
    00000330 => x"07940663",
342
    00000331 => x"02500513",
343
    00000332 => x"ec1ff0ef",
344
    00000333 => x"00040513",
345
    00000334 => x"0540006f",
346
    00000335 => x"09640663",
347
    00000336 => x"ff7416e3",
348
    00000337 => x"00012783",
349
    00000338 => x"00410593",
350
    00000339 => x"0007a503",
351
    00000340 => x"00478713",
352
    00000341 => x"00e12023",
353
    00000342 => x"dc9ff0ef",
354
    00000343 => x"0640006f",
355
    00000344 => x"00012783",
356
    00000345 => x"0007a503",
357
    00000346 => x"00478713",
358
    00000347 => x"00e12023",
359
    00000348 => x"e95ff0ef",
360
    00000349 => x"00098413",
361
    00000350 => x"f5dff06f",
362
    00000351 => x"00012783",
363
    00000352 => x"0007c503",
364
    00000353 => x"00478713",
365
    00000354 => x"00e12023",
366
    00000355 => x"e65ff0ef",
367
    00000356 => x"fe5ff06f",
368
    00000357 => x"00012783",
369
    00000358 => x"0007a403",
370
    00000359 => x"00478713",
371
    00000360 => x"00e12023",
372
    00000361 => x"00045863",
373
    00000362 => x"02d00513",
374
    00000363 => x"40800433",
375
    00000364 => x"e41ff0ef",
376
    00000365 => x"00410593",
377
    00000366 => x"00040513",
378
    00000367 => x"c5dff0ef",
379
    00000368 => x"00410513",
380
    00000369 => x"fadff06f",
381
    00000370 => x"00012783",
382
    00000371 => x"00410593",
383
    00000372 => x"00478713",
384
    00000373 => x"0007a503",
385
    00000374 => x"00e12023",
386
    00000375 => x"fe1ff06f",
387
    00000376 => x"01549663",
388
    00000377 => x"00d00513",
389
    00000378 => x"e09ff0ef",
390
    00000379 => x"00140993",
391
    00000380 => x"00048513",
392
    00000381 => x"f99ff06f",
393
    00000382 => x"fd010113",
394
    00000383 => x"00112623",
395
    00000384 => x"00b12a23",
396
    00000385 => x"00c12c23",
397
    00000386 => x"00d12e23",
398
    00000387 => x"02e12023",
399
    00000388 => x"02f12223",
400
    00000389 => x"03012423",
401
    00000390 => x"03112623",
402
    00000391 => x"e45ff0ef",
403
    00000392 => x"00c12083",
404
    00000393 => x"03010113",
405
    00000394 => x"00008067",
406
    00000395 => x"fe010113",
407
    00000396 => x"00112e23",
408
    00000397 => x"00050613",
409
    00000398 => x"00055863",
410
    00000399 => x"40a00633",
411
    00000400 => x"01061613",
412
    00000401 => x"41065613",
413
    00000402 => x"fe002503",
414
    00000403 => x"3e800593",
415
    00000404 => x"00c12623",
416
    00000405 => x"60c000ef",
417
    00000406 => x"00c12603",
418
    00000407 => x"00000593",
419
    00000408 => x"41f65693",
420
    00000409 => x"564000ef",
421
    00000410 => x"01c59593",
422
    00000411 => x"00455513",
423
    00000412 => x"00a5e533",
424
    00000413 => x"00050a63",
425
    00000414 => x"00050863",
426
    00000415 => x"fff50513",
427
    00000416 => x"00000013",
428
    00000417 => x"ff1ff06f",
429
    00000418 => x"01c12083",
430
    00000419 => x"02010113",
431
    00000420 => x"00008067",
432
    00000421 => x"00000000",
433
    00000422 => x"00000000",
434
    00000423 => x"00000000",
435
    00000424 => x"fc010113",
436
    00000425 => x"02112e23",
437
    00000426 => x"02512c23",
438
    00000427 => x"02612a23",
439
    00000428 => x"02712823",
440
    00000429 => x"02a12623",
441
    00000430 => x"02b12423",
442
    00000431 => x"02c12223",
443
    00000432 => x"02d12023",
444
    00000433 => x"00e12e23",
445
    00000434 => x"00f12c23",
446
    00000435 => x"01012a23",
447
    00000436 => x"01112823",
448
    00000437 => x"01c12623",
449
    00000438 => x"01d12423",
450
    00000439 => x"01e12223",
451
    00000440 => x"01f12023",
452
    00000441 => x"34102773",
453
    00000442 => x"34071073",
454
    00000443 => x"342027f3",
455
    00000444 => x"0807ca63",
456
    00000445 => x"00071683",
457
    00000446 => x"00300593",
458
    00000447 => x"0036f693",
459
    00000448 => x"00270613",
460
    00000449 => x"00b69463",
461
    00000450 => x"00470613",
462
    00000451 => x"34161073",
463
    00000452 => x"00b00713",
464
    00000453 => x"04f77c63",
465
    00000454 => x"000017b7",
466
    00000455 => x"91c78793",
467
    00000456 => x"000780e7",
468
    00000457 => x"03c12083",
469
    00000458 => x"03812283",
470
    00000459 => x"03412303",
471
    00000460 => x"03012383",
472
    00000461 => x"02c12503",
473
    00000462 => x"02812583",
474
    00000463 => x"02412603",
475
    00000464 => x"02012683",
476
    00000465 => x"01c12703",
477
    00000466 => x"01812783",
478
    00000467 => x"01412803",
479
    00000468 => x"01012883",
480
    00000469 => x"00c12e03",
481
    00000470 => x"00812e83",
482
    00000471 => x"00412f03",
483
    00000472 => x"00012f83",
484
    00000473 => x"04010113",
485
    00000474 => x"30200073",
486
    00000475 => x"00001737",
487
    00000476 => x"00279793",
488
    00000477 => x"d6c70713",
489 58 zero_gravi
    00000478 => x"00e787b3",
490 59 zero_gravi
    00000479 => x"0007a783",
491
    00000480 => x"00078067",
492
    00000481 => x"80000737",
493
    00000482 => x"00e787b3",
494
    00000483 => x"01f00713",
495
    00000484 => x"f8f764e3",
496
    00000485 => x"00001737",
497
    00000486 => x"00279793",
498
    00000487 => x"d9c70713",
499
    00000488 => x"00e787b3",
500
    00000489 => x"0007a783",
501
    00000490 => x"00078067",
502
    00000491 => x"800007b7",
503
    00000492 => x"0007a783",
504
    00000493 => x"f6dff06f",
505
    00000494 => x"800007b7",
506
    00000495 => x"0047a783",
507
    00000496 => x"f61ff06f",
508
    00000497 => x"800007b7",
509
    00000498 => x"0087a783",
510
    00000499 => x"f55ff06f",
511
    00000500 => x"800007b7",
512
    00000501 => x"00c7a783",
513
    00000502 => x"f49ff06f",
514
    00000503 => x"8101a783",
515
    00000504 => x"f41ff06f",
516
    00000505 => x"8141a783",
517
    00000506 => x"f39ff06f",
518
    00000507 => x"8181a783",
519
    00000508 => x"f31ff06f",
520
    00000509 => x"81c1a783",
521
    00000510 => x"f29ff06f",
522
    00000511 => x"8201a783",
523
    00000512 => x"f21ff06f",
524
    00000513 => x"8241a783",
525
    00000514 => x"f19ff06f",
526
    00000515 => x"8281a783",
527
    00000516 => x"f11ff06f",
528
    00000517 => x"82c1a783",
529
    00000518 => x"f09ff06f",
530
    00000519 => x"8301a783",
531
    00000520 => x"f01ff06f",
532
    00000521 => x"8341a783",
533
    00000522 => x"ef9ff06f",
534
    00000523 => x"8381a783",
535
    00000524 => x"ef1ff06f",
536
    00000525 => x"83c1a783",
537
    00000526 => x"ee9ff06f",
538
    00000527 => x"8401a783",
539
    00000528 => x"ee1ff06f",
540
    00000529 => x"8441a783",
541
    00000530 => x"ed9ff06f",
542
    00000531 => x"8481a783",
543
    00000532 => x"ed1ff06f",
544
    00000533 => x"84c1a783",
545
    00000534 => x"ec9ff06f",
546
    00000535 => x"8501a783",
547
    00000536 => x"ec1ff06f",
548
    00000537 => x"8541a783",
549
    00000538 => x"eb9ff06f",
550
    00000539 => x"8581a783",
551
    00000540 => x"eb1ff06f",
552
    00000541 => x"85c1a783",
553
    00000542 => x"ea9ff06f",
554
    00000543 => x"8601a783",
555
    00000544 => x"ea1ff06f",
556
    00000545 => x"8641a783",
557
    00000546 => x"e99ff06f",
558
    00000547 => x"8681a783",
559
    00000548 => x"e91ff06f",
560
    00000549 => x"86c1a783",
561
    00000550 => x"e89ff06f",
562
    00000551 => x"8701a783",
563
    00000552 => x"e81ff06f",
564
    00000553 => x"8741a783",
565
    00000554 => x"e79ff06f",
566
    00000555 => x"fe010113",
567
    00000556 => x"01212823",
568
    00000557 => x"00050913",
569
    00000558 => x"00001537",
570
    00000559 => x"00912a23",
571
    00000560 => x"e1c50513",
572
    00000561 => x"000014b7",
573
    00000562 => x"00812c23",
574
    00000563 => x"01312623",
575
    00000564 => x"00112e23",
576
    00000565 => x"01c00413",
577
    00000566 => x"b85ff0ef",
578
    00000567 => x"09848493",
579
    00000568 => x"ffc00993",
580
    00000569 => x"008957b3",
581
    00000570 => x"00f7f793",
582
    00000571 => x"00f487b3",
583
    00000572 => x"0007c503",
584
    00000573 => x"ffc40413",
585
    00000574 => x"b09ff0ef",
586
    00000575 => x"ff3414e3",
587
    00000576 => x"01c12083",
588
    00000577 => x"01812403",
589
    00000578 => x"01412483",
590
    00000579 => x"01012903",
591
    00000580 => x"00c12983",
592
    00000581 => x"02010113",
593
    00000582 => x"00008067",
594
    00000583 => x"00001537",
595
    00000584 => x"ff010113",
596
    00000585 => x"e2050513",
597
    00000586 => x"00112623",
598
    00000587 => x"00812423",
599
    00000588 => x"00912223",
600
    00000589 => x"b29ff0ef",
601
    00000590 => x"34202473",
602
    00000591 => x"00900713",
603
    00000592 => x"00f47793",
604
    00000593 => x"05778493",
605
    00000594 => x"00f76463",
606
    00000595 => x"03078493",
607
    00000596 => x"00b00793",
608
    00000597 => x"0087ee63",
609
    00000598 => x"00001737",
610
    00000599 => x"00241793",
611
    00000600 => x"fac70713",
612
    00000601 => x"00e787b3",
613
    00000602 => x"0007a783",
614
    00000603 => x"00078067",
615
    00000604 => x"800007b7",
616
    00000605 => x"00b78713",
617
    00000606 => x"12e40663",
618
    00000607 => x"02876663",
619
    00000608 => x"00378713",
620
    00000609 => x"10e40463",
621
    00000610 => x"00778793",
622
    00000611 => x"10f40663",
623
    00000612 => x"00001537",
624
    00000613 => x"f8050513",
625
    00000614 => x"ac5ff0ef",
626
    00000615 => x"00040513",
627
    00000616 => x"f0dff0ef",
628
    00000617 => x"0380006f",
629
    00000618 => x"ff07c793",
630
    00000619 => x"00f407b3",
631
    00000620 => x"00f00713",
632
    00000621 => x"fcf76ee3",
633
    00000622 => x"00001537",
634
    00000623 => x"f7050513",
635
    00000624 => x"a9dff0ef",
636
    00000625 => x"00048513",
637
    00000626 => x"a39ff0ef",
638
    00000627 => x"0100006f",
639
    00000628 => x"00001537",
640
    00000629 => x"e2850513",
641
    00000630 => x"a85ff0ef",
642
    00000631 => x"00001537",
643
    00000632 => x"f9850513",
644
    00000633 => x"a79ff0ef",
645
    00000634 => x"34002573",
646
    00000635 => x"ec1ff0ef",
647
    00000636 => x"00001537",
648
    00000637 => x"fa050513",
649
    00000638 => x"a65ff0ef",
650
    00000639 => x"34302573",
651
    00000640 => x"eadff0ef",
652
    00000641 => x"00812403",
653
    00000642 => x"00c12083",
654
    00000643 => x"00412483",
655 58 zero_gravi
    00000644 => x"00001537",
656 59 zero_gravi
    00000645 => x"00850513",
657
    00000646 => x"01010113",
658
    00000647 => x"a41ff06f",
659
    00000648 => x"00001537",
660
    00000649 => x"e4850513",
661
    00000650 => x"fb1ff06f",
662
    00000651 => x"00001537",
663
    00000652 => x"e6450513",
664
    00000653 => x"fa5ff06f",
665
    00000654 => x"00001537",
666
    00000655 => x"e7850513",
667
    00000656 => x"f99ff06f",
668
    00000657 => x"00001537",
669
    00000658 => x"e8450513",
670
    00000659 => x"f8dff06f",
671
    00000660 => x"00001537",
672
    00000661 => x"e9c50513",
673
    00000662 => x"f81ff06f",
674
    00000663 => x"00001537",
675
    00000664 => x"eb050513",
676
    00000665 => x"f75ff06f",
677
    00000666 => x"00001537",
678
    00000667 => x"ecc50513",
679
    00000668 => x"f69ff06f",
680
    00000669 => x"00001537",
681
    00000670 => x"ee050513",
682
    00000671 => x"f5dff06f",
683
    00000672 => x"00001537",
684
    00000673 => x"f0050513",
685
    00000674 => x"f51ff06f",
686
    00000675 => x"00001537",
687
    00000676 => x"f2050513",
688
    00000677 => x"f45ff06f",
689
    00000678 => x"00001537",
690
    00000679 => x"f3c50513",
691
    00000680 => x"f39ff06f",
692
    00000681 => x"00001537",
693
    00000682 => x"f5450513",
694
    00000683 => x"f2dff06f",
695
    00000684 => x"01f00793",
696
    00000685 => x"02a7e463",
697
    00000686 => x"800007b7",
698
    00000687 => x"00078793",
699
    00000688 => x"00251513",
700
    00000689 => x"00a78533",
701
    00000690 => x"000017b7",
702
    00000691 => x"91c78793",
703
    00000692 => x"00f52023",
704
    00000693 => x"00000513",
705
    00000694 => x"00008067",
706
    00000695 => x"00100513",
707
    00000696 => x"00008067",
708
    00000697 => x"ff010113",
709
    00000698 => x"00112623",
710
    00000699 => x"00812423",
711
    00000700 => x"00912223",
712
    00000701 => x"301027f3",
713
    00000702 => x"00079863",
714
    00000703 => x"00001537",
715
    00000704 => x"fdc50513",
716
    00000705 => x"959ff0ef",
717
    00000706 => x"6a000793",
718
    00000707 => x"30579073",
719
    00000708 => x"00000413",
720
    00000709 => x"01e00493",
721
    00000710 => x"00040513",
722
    00000711 => x"00140413",
723
    00000712 => x"0ff47413",
724
    00000713 => x"f8dff0ef",
725
    00000714 => x"fe9418e3",
726
    00000715 => x"00c12083",
727
    00000716 => x"00812403",
728
    00000717 => x"00412483",
729
    00000718 => x"01010113",
730
    00000719 => x"00008067",
731
    00000720 => x"ff010113",
732
    00000721 => x"00112623",
733
    00000722 => x"00812423",
734
    00000723 => x"30102673",
735
    00000724 => x"400005b7",
736
    00000725 => x"10058593",
737
    00000726 => x"00b677b3",
738
    00000727 => x"00000413",
739
    00000728 => x"00b78c63",
740
    00000729 => x"00100413",
741
    00000730 => x"00051863",
742
    00000731 => x"00001537",
743
    00000732 => x"01050513",
744
    00000733 => x"a85ff0ef",
745
    00000734 => x"00c12083",
746
    00000735 => x"00040513",
747
    00000736 => x"00812403",
748
    00000737 => x"01010113",
749 58 zero_gravi
    00000738 => x"00008067",
750 59 zero_gravi
    00000739 => x"fe802503",
751
    00000740 => x"01055513",
752
    00000741 => x"00157513",
753
    00000742 => x"00008067",
754
    00000743 => x"f8a02223",
755
    00000744 => x"00008067",
756
    00000745 => x"00050613",
757
    00000746 => x"00000513",
758
    00000747 => x"0015f693",
759
    00000748 => x"00068463",
760
    00000749 => x"00c50533",
761
    00000750 => x"0015d593",
762
    00000751 => x"00161613",
763
    00000752 => x"fe0596e3",
764
    00000753 => x"00008067",
765
    00000754 => x"00050313",
766
    00000755 => x"ff010113",
767
    00000756 => x"00060513",
768
    00000757 => x"00068893",
769
    00000758 => x"00112623",
770
    00000759 => x"00030613",
771
    00000760 => x"00050693",
772
    00000761 => x"00000713",
773
    00000762 => x"00000793",
774
    00000763 => x"00000813",
775
    00000764 => x"0016fe13",
776
    00000765 => x"00171e93",
777
    00000766 => x"000e0c63",
778
    00000767 => x"01060e33",
779
    00000768 => x"010e3833",
780
    00000769 => x"00e787b3",
781
    00000770 => x"00f807b3",
782
    00000771 => x"000e0813",
783
    00000772 => x"01f65713",
784
    00000773 => x"0016d693",
785
    00000774 => x"00eee733",
786
    00000775 => x"00161613",
787
    00000776 => x"fc0698e3",
788
    00000777 => x"00058663",
789
    00000778 => x"f7dff0ef",
790
    00000779 => x"00a787b3",
791
    00000780 => x"00088a63",
792
    00000781 => x"00030513",
793
    00000782 => x"00088593",
794
    00000783 => x"f69ff0ef",
795
    00000784 => x"00f507b3",
796
    00000785 => x"00c12083",
797
    00000786 => x"00080513",
798
    00000787 => x"00078593",
799
    00000788 => x"01010113",
800
    00000789 => x"00008067",
801
    00000790 => x"06054063",
802
    00000791 => x"0605c663",
803
    00000792 => x"00058613",
804
    00000793 => x"00050593",
805
    00000794 => x"fff00513",
806
    00000795 => x"02060c63",
807
    00000796 => x"00100693",
808
    00000797 => x"00b67a63",
809
    00000798 => x"00c05863",
810
    00000799 => x"00161613",
811
    00000800 => x"00169693",
812
    00000801 => x"feb66ae3",
813
    00000802 => x"00000513",
814
    00000803 => x"00c5e663",
815
    00000804 => x"40c585b3",
816
    00000805 => x"00d56533",
817
    00000806 => x"0016d693",
818
    00000807 => x"00165613",
819
    00000808 => x"fe0696e3",
820
    00000809 => x"00008067",
821
    00000810 => x"00008293",
822
    00000811 => x"fb5ff0ef",
823
    00000812 => x"00058513",
824
    00000813 => x"00028067",
825
    00000814 => x"40a00533",
826
    00000815 => x"00b04863",
827
    00000816 => x"40b005b3",
828
    00000817 => x"f9dff06f",
829
    00000818 => x"40b005b3",
830 58 zero_gravi
    00000819 => x"00008293",
831 59 zero_gravi
    00000820 => x"f91ff0ef",
832
    00000821 => x"40a00533",
833
    00000822 => x"00028067",
834
    00000823 => x"00008293",
835
    00000824 => x"0005ca63",
836
    00000825 => x"00054c63",
837
    00000826 => x"f79ff0ef",
838
    00000827 => x"00058513",
839
    00000828 => x"00028067",
840
    00000829 => x"40b005b3",
841
    00000830 => x"fe0558e3",
842
    00000831 => x"40a00533",
843
    00000832 => x"f61ff0ef",
844
    00000833 => x"40b00533",
845
    00000834 => x"00028067",
846
    00000835 => x"6f727245",
847
    00000836 => x"4e202172",
848
    00000837 => x"5047206f",
849
    00000838 => x"75204f49",
850
    00000839 => x"2074696e",
851
    00000840 => x"746e7973",
852
    00000841 => x"69736568",
853
    00000842 => x"2164657a",
854
    00000843 => x"0000000a",
855
    00000844 => x"6e696c42",
856
    00000845 => x"676e696b",
857
    00000846 => x"44454c20",
858
    00000847 => x"6d656420",
859
    00000848 => x"7270206f",
860
    00000849 => x"6172676f",
861
    00000850 => x"00000a6d",
862
    00000851 => x"33323130",
863
    00000852 => x"37363534",
864
    00000853 => x"00003938",
865
    00000854 => x"33323130",
866
    00000855 => x"37363534",
867
    00000856 => x"62613938",
868
    00000857 => x"66656463",
869
    00000858 => x"00000000",
870
    00000859 => x"000007ac",
871
    00000860 => x"000007b8",
872
    00000861 => x"000007c4",
873
    00000862 => x"000007d0",
874
    00000863 => x"000007dc",
875
    00000864 => x"000007e4",
876
    00000865 => x"000007ec",
877 58 zero_gravi
    00000866 => x"000007f4",
878
    00000867 => x"000007fc",
879 59 zero_gravi
    00000868 => x"00000718",
880
    00000869 => x"00000718",
881 58 zero_gravi
    00000870 => x"00000804",
882 59 zero_gravi
    00000871 => x"0000080c",
883
    00000872 => x"00000718",
884
    00000873 => x"00000718",
885
    00000874 => x"00000814",
886
    00000875 => x"00000718",
887
    00000876 => x"00000718",
888
    00000877 => x"00000718",
889
    00000878 => x"0000081c",
890
    00000879 => x"00000718",
891
    00000880 => x"00000718",
892
    00000881 => x"00000718",
893
    00000882 => x"00000824",
894
    00000883 => x"00000718",
895
    00000884 => x"00000718",
896
    00000885 => x"00000718",
897
    00000886 => x"00000718",
898
    00000887 => x"0000082c",
899
    00000888 => x"00000834",
900
    00000889 => x"0000083c",
901
    00000890 => x"00000844",
902
    00000891 => x"0000084c",
903
    00000892 => x"00000854",
904
    00000893 => x"0000085c",
905
    00000894 => x"00000864",
906
    00000895 => x"0000086c",
907
    00000896 => x"00000874",
908
    00000897 => x"0000087c",
909
    00000898 => x"00000884",
910
    00000899 => x"0000088c",
911
    00000900 => x"00000894",
912
    00000901 => x"0000089c",
913
    00000902 => x"000008a4",
914
    00000903 => x"00007830",
915
    00000904 => x"4554523c",
916
    00000905 => x"0000203e",
917
    00000906 => x"74736e49",
918
    00000907 => x"74637572",
919
    00000908 => x"206e6f69",
920
    00000909 => x"72646461",
921
    00000910 => x"20737365",
922
    00000911 => x"6173696d",
923
    00000912 => x"6e67696c",
924
    00000913 => x"00006465",
925
    00000914 => x"74736e49",
926
    00000915 => x"74637572",
927
    00000916 => x"206e6f69",
928
    00000917 => x"65636361",
929
    00000918 => x"66207373",
930
    00000919 => x"746c7561",
931
    00000920 => x"00000000",
932
    00000921 => x"656c6c49",
933
    00000922 => x"206c6167",
934
    00000923 => x"74736e69",
935
    00000924 => x"74637572",
936
    00000925 => x"006e6f69",
937
    00000926 => x"61657242",
938
    00000927 => x"696f706b",
939
    00000928 => x"0000746e",
940
    00000929 => x"64616f4c",
941
    00000930 => x"64646120",
942
    00000931 => x"73736572",
943
    00000932 => x"73696d20",
944
    00000933 => x"67696c61",
945
    00000934 => x"0064656e",
946
    00000935 => x"64616f4c",
947
    00000936 => x"63636120",
948
    00000937 => x"20737365",
949
    00000938 => x"6c756166",
950
    00000939 => x"00000074",
951
    00000940 => x"726f7453",
952
    00000941 => x"64612065",
953
    00000942 => x"73657264",
954
    00000943 => x"696d2073",
955
    00000944 => x"696c6173",
956
    00000945 => x"64656e67",
957
    00000946 => x"00000000",
958
    00000947 => x"726f7453",
959
    00000948 => x"63612065",
960
    00000949 => x"73736563",
961
    00000950 => x"75616620",
962
    00000951 => x"0000746c",
963
    00000952 => x"69766e45",
964
    00000953 => x"6d6e6f72",
965
    00000954 => x"20746e65",
966
    00000955 => x"6c6c6163",
967
    00000956 => x"6f726620",
968
    00000957 => x"2d55206d",
969
    00000958 => x"65646f6d",
970
    00000959 => x"00000000",
971
    00000960 => x"69766e45",
972
    00000961 => x"6d6e6f72",
973
    00000962 => x"20746e65",
974
    00000963 => x"6c6c6163",
975
    00000964 => x"6f726620",
976
    00000965 => x"2d4d206d",
977
    00000966 => x"65646f6d",
978
    00000967 => x"00000000",
979
    00000968 => x"6863614d",
980
    00000969 => x"20656e69",
981
    00000970 => x"74666f73",
982
    00000971 => x"65726177",
983
    00000972 => x"746e6920",
984
    00000973 => x"75727265",
985
    00000974 => x"00007470",
986
    00000975 => x"6863614d",
987
    00000976 => x"20656e69",
988
    00000977 => x"656d6974",
989
    00000978 => x"6e692072",
990
    00000979 => x"72726574",
991
    00000980 => x"00747075",
992
    00000981 => x"6863614d",
993
    00000982 => x"20656e69",
994
    00000983 => x"65747865",
995
    00000984 => x"6c616e72",
996 58 zero_gravi
    00000985 => x"746e6920",
997
    00000986 => x"75727265",
998 59 zero_gravi
    00000987 => x"00007470",
999
    00000988 => x"74736146",
1000
    00000989 => x"746e6920",
1001
    00000990 => x"75727265",
1002
    00000991 => x"00207470",
1003
    00000992 => x"6e6b6e55",
1004
    00000993 => x"206e776f",
1005
    00000994 => x"70617274",
1006
    00000995 => x"75616320",
1007
    00000996 => x"203a6573",
1008
    00000997 => x"00000000",
1009
    00000998 => x"50204020",
1010
    00000999 => x"00003d43",
1011
    00001000 => x"544d202c",
1012
    00001001 => x"3d4c4156",
1013
    00001002 => x"00000000",
1014
    00001003 => x"000009d0",
1015
    00001004 => x"00000a20",
1016
    00001005 => x"00000a2c",
1017
    00001006 => x"00000a38",
1018
    00001007 => x"00000a44",
1019
    00001008 => x"00000a50",
1020
    00001009 => x"00000a5c",
1021
    00001010 => x"00000a68",
1022
    00001011 => x"00000a74",
1023
    00001012 => x"00000990",
1024
    00001013 => x"00000990",
1025
    00001014 => x"00000a80",
1026
    00001015 => x"4554523c",
1027
    00001016 => x"4157203e",
1028
    00001017 => x"4e494e52",
1029
    00001018 => x"43202147",
1030
    00001019 => x"43205550",
1031
    00001020 => x"73205253",
1032
    00001021 => x"65747379",
1033
    00001022 => x"6f6e206d",
1034
    00001023 => x"76612074",
1035
    00001024 => x"616c6961",
1036
    00001025 => x"21656c62",
1037
    00001026 => x"522f3c20",
1038
    00001027 => x"003e4554",
1039
    00001028 => x"5241570a",
1040
    00001029 => x"474e494e",
1041
    00001030 => x"57532021",
1042
    00001031 => x"4153495f",
1043
    00001032 => x"65662820",
1044
    00001033 => x"72757461",
1045
    00001034 => x"72207365",
1046
    00001035 => x"69757165",
1047
    00001036 => x"29646572",
1048
    00001037 => x"20737620",
1049
    00001038 => x"495f5748",
1050
    00001039 => x"28204153",
1051
    00001040 => x"74616566",
1052
    00001041 => x"73657275",
1053
    00001042 => x"61766120",
1054
    00001043 => x"62616c69",
1055
    00001044 => x"2029656c",
1056
    00001045 => x"6d73696d",
1057
    00001046 => x"68637461",
1058
    00001047 => x"57530a21",
1059
    00001048 => x"4153495f",
1060
    00001049 => x"30203d20",
1061
    00001050 => x"20782578",
1062
    00001051 => x"6d6f6328",
1063
    00001052 => x"656c6970",
1064
    00001053 => x"6c662072",
1065
    00001054 => x"29736761",
1066
    00001055 => x"5f57480a",
1067
    00001056 => x"20415349",
1068
    00001057 => x"7830203d",
1069
    00001058 => x"28207825",
1070
    00001059 => x"6173696d",
1071
    00001060 => x"72736320",
1072
    00001061 => x"000a0a29",
1073
    00001062 => x"33323130",
1074
    00001063 => x"37363534",
1075
    00001064 => x"42413938",
1076
    00001065 => x"46454443",
1077 2 zero_gravi
    others   => x"00000000"
1078
  );
1079
 
1080
end neorv32_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.