OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Blame information for rev 55

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
2 21 zero_gravi
-- Auto-generated memory init file (for BOOTLOADER) from source file <bootloader/main.bin>
3 2 zero_gravi
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neorv32_bootloader_image is
8
 
9 55 zero_gravi
  type bootloader_init_image_t is array (0 to 1009) of std_ulogic_vector(31 downto 0);
10 2 zero_gravi
  constant bootloader_init_image : bootloader_init_image_t := (
11 21 zero_gravi
    00000000 => x"00000093",
12
    00000001 => x"00000113",
13
    00000002 => x"00000193",
14
    00000003 => x"00000213",
15
    00000004 => x"00000293",
16
    00000005 => x"00000313",
17
    00000006 => x"00000393",
18
    00000007 => x"00000413",
19
    00000008 => x"00000493",
20 23 zero_gravi
    00000009 => x"00000713",
21
    00000010 => x"00000793",
22 53 zero_gravi
    00000011 => x"00000597",
23
    00000012 => x"0cc58593",
24
    00000013 => x"30559073",
25
    00000014 => x"00002537",
26
    00000015 => x"80050513",
27
    00000016 => x"30051073",
28
    00000017 => x"30401073",
29
    00000018 => x"80012117",
30
    00000019 => x"fb410113",
31
    00000020 => x"ffc17113",
32
    00000021 => x"00010413",
33
    00000022 => x"80010197",
34
    00000023 => x"7a818193",
35 47 zero_gravi
    00000024 => x"f0000593",
36 23 zero_gravi
    00000025 => x"0005a023",
37
    00000026 => x"00458593",
38
    00000027 => x"feb01ce3",
39
    00000028 => x"80010597",
40
    00000029 => x"f9058593",
41 47 zero_gravi
    00000030 => x"80818613",
42 23 zero_gravi
    00000031 => x"00c5d863",
43
    00000032 => x"00058023",
44
    00000033 => x"00158593",
45
    00000034 => x"ff5ff06f",
46
    00000035 => x"00001597",
47 55 zero_gravi
    00000036 => x"f3858593",
48 23 zero_gravi
    00000037 => x"80010617",
49
    00000038 => x"f6c60613",
50
    00000039 => x"80010697",
51
    00000040 => x"f6468693",
52
    00000041 => x"00d65c63",
53
    00000042 => x"00058703",
54
    00000043 => x"00e60023",
55
    00000044 => x"00158593",
56
    00000045 => x"00160613",
57
    00000046 => x"fedff06f",
58
    00000047 => x"00000513",
59
    00000048 => x"00000593",
60 39 zero_gravi
    00000049 => x"b0001073",
61
    00000050 => x"b8001073",
62
    00000051 => x"b0201073",
63
    00000052 => x"b8201073",
64 41 zero_gravi
    00000053 => x"3063d073",
65
    00000054 => x"32001073",
66
    00000055 => x"80000637",
67
    00000056 => x"34261073",
68
    00000057 => x"060000ef",
69
    00000058 => x"30047073",
70
    00000059 => x"00000013",
71
    00000060 => x"10500073",
72
    00000061 => x"0000006f",
73
    00000062 => x"ff810113",
74
    00000063 => x"00812023",
75
    00000064 => x"00912223",
76
    00000065 => x"34202473",
77
    00000066 => x"02044663",
78
    00000067 => x"34102473",
79
    00000068 => x"00041483",
80
    00000069 => x"0034f493",
81
    00000070 => x"00240413",
82
    00000071 => x"34141073",
83
    00000072 => x"00300413",
84
    00000073 => x"00941863",
85
    00000074 => x"34102473",
86
    00000075 => x"00240413",
87
    00000076 => x"34141073",
88 53 zero_gravi
    00000077 => x"00012403",
89
    00000078 => x"00412483",
90 41 zero_gravi
    00000079 => x"00810113",
91
    00000080 => x"30200073",
92
    00000081 => x"800007b7",
93 47 zero_gravi
    00000082 => x"0007a023",
94
    00000083 => x"fd010113",
95
    00000084 => x"8001a223",
96
    00000085 => x"02812423",
97
    00000086 => x"fe002403",
98
    00000087 => x"026267b7",
99
    00000088 => x"02112623",
100
    00000089 => x"02912223",
101
    00000090 => x"03212023",
102
    00000091 => x"01312e23",
103
    00000092 => x"01412c23",
104
    00000093 => x"01512a23",
105
    00000094 => x"01612823",
106
    00000095 => x"01712623",
107
    00000096 => x"01812423",
108
    00000097 => x"9ff78793",
109 48 zero_gravi
    00000098 => x"00000613",
110
    00000099 => x"00000593",
111
    00000100 => x"00200513",
112
    00000101 => x"0087f463",
113
    00000102 => x"00400513",
114 55 zero_gravi
    00000103 => x"36d000ef",
115 48 zero_gravi
    00000104 => x"00100513",
116 55 zero_gravi
    00000105 => x"40d000ef",
117 48 zero_gravi
    00000106 => x"00005537",
118 51 zero_gravi
    00000107 => x"00000613",
119
    00000108 => x"00000593",
120
    00000109 => x"b0050513",
121 55 zero_gravi
    00000110 => x"2a9000ef",
122
    00000111 => x"1c5000ef",
123 51 zero_gravi
    00000112 => x"00245793",
124
    00000113 => x"00a78533",
125
    00000114 => x"00f537b3",
126
    00000115 => x"00b785b3",
127 55 zero_gravi
    00000116 => x"1dd000ef",
128 51 zero_gravi
    00000117 => x"ffff07b7",
129 55 zero_gravi
    00000118 => x"4d478793",
130 51 zero_gravi
    00000119 => x"30579073",
131
    00000120 => x"08000793",
132
    00000121 => x"30479073",
133
    00000122 => x"30046073",
134 48 zero_gravi
    00000123 => x"00000013",
135 51 zero_gravi
    00000124 => x"00000013",
136
    00000125 => x"ffff1537",
137 55 zero_gravi
    00000126 => x"edc50513",
138
    00000127 => x"309000ef",
139 51 zero_gravi
    00000128 => x"f1302573",
140 55 zero_gravi
    00000129 => x"260000ef",
141 51 zero_gravi
    00000130 => x"ffff1537",
142 55 zero_gravi
    00000131 => x"f1450513",
143
    00000132 => x"2f5000ef",
144 51 zero_gravi
    00000133 => x"fe002503",
145 55 zero_gravi
    00000134 => x"24c000ef",
146 51 zero_gravi
    00000135 => x"ffff1537",
147 55 zero_gravi
    00000136 => x"f1c50513",
148
    00000137 => x"2e1000ef",
149 51 zero_gravi
    00000138 => x"fe402503",
150 55 zero_gravi
    00000139 => x"238000ef",
151 51 zero_gravi
    00000140 => x"ffff1537",
152 55 zero_gravi
    00000141 => x"f2450513",
153
    00000142 => x"2cd000ef",
154 51 zero_gravi
    00000143 => x"30102573",
155 55 zero_gravi
    00000144 => x"224000ef",
156 51 zero_gravi
    00000145 => x"ffff1537",
157 55 zero_gravi
    00000146 => x"f2c50513",
158
    00000147 => x"2b9000ef",
159
    00000148 => x"fc002573",
160
    00000149 => x"210000ef",
161
    00000150 => x"ffff1537",
162
    00000151 => x"f3450513",
163
    00000152 => x"2a5000ef",
164
    00000153 => x"fe802503",
165
    00000154 => x"ffff14b7",
166
    00000155 => x"00341413",
167
    00000156 => x"1f4000ef",
168
    00000157 => x"ffff1537",
169
    00000158 => x"f3c50513",
170
    00000159 => x"289000ef",
171
    00000160 => x"ff802503",
172
    00000161 => x"1e0000ef",
173
    00000162 => x"f4448513",
174
    00000163 => x"279000ef",
175
    00000164 => x"ff002503",
176
    00000165 => x"1d0000ef",
177
    00000166 => x"ffff1537",
178
    00000167 => x"f5050513",
179
    00000168 => x"265000ef",
180
    00000169 => x"ffc02503",
181
    00000170 => x"1bc000ef",
182
    00000171 => x"f4448513",
183
    00000172 => x"255000ef",
184
    00000173 => x"ff402503",
185
    00000174 => x"1ac000ef",
186
    00000175 => x"ffff1537",
187
    00000176 => x"f5850513",
188
    00000177 => x"241000ef",
189
    00000178 => x"0b9000ef",
190
    00000179 => x"00a404b3",
191
    00000180 => x"0084b433",
192
    00000181 => x"00b40433",
193
    00000182 => x"1d1000ef",
194
    00000183 => x"02050263",
195
    00000184 => x"ffff1537",
196
    00000185 => x"f8050513",
197
    00000186 => x"21d000ef",
198
    00000187 => x"0d9000ef",
199
    00000188 => x"02300793",
200
    00000189 => x"02f51263",
201
    00000190 => x"00000513",
202
    00000191 => x"0180006f",
203
    00000192 => x"081000ef",
204
    00000193 => x"fc85eae3",
205
    00000194 => x"00b41463",
206
    00000195 => x"fc9566e3",
207
    00000196 => x"00100513",
208
    00000197 => x"5dc000ef",
209
    00000198 => x"0b4000ef",
210
    00000199 => x"ffff1937",
211
    00000200 => x"ffff19b7",
212
    00000201 => x"02300a13",
213
    00000202 => x"07200a93",
214
    00000203 => x"06800b13",
215
    00000204 => x"07500b93",
216
    00000205 => x"ffff14b7",
217
    00000206 => x"ffff1c37",
218
    00000207 => x"f8c90513",
219
    00000208 => x"1c5000ef",
220
    00000209 => x"155000ef",
221
    00000210 => x"00050413",
222
    00000211 => x"129000ef",
223
    00000212 => x"e9498513",
224
    00000213 => x"1b1000ef",
225
    00000214 => x"fb4400e3",
226
    00000215 => x"01541863",
227
    00000216 => x"ffff02b7",
228
    00000217 => x"00028067",
229
    00000218 => x"fd5ff06f",
230
    00000219 => x"01641663",
231
    00000220 => x"05c000ef",
232
    00000221 => x"fc9ff06f",
233
    00000222 => x"00000513",
234
    00000223 => x"03740063",
235
    00000224 => x"07300793",
236
    00000225 => x"00f41663",
237
    00000226 => x"67c000ef",
238
    00000227 => x"fb1ff06f",
239
    00000228 => x"06c00793",
240
    00000229 => x"00f41863",
241
    00000230 => x"00100513",
242
    00000231 => x"3fc000ef",
243
    00000232 => x"f9dff06f",
244
    00000233 => x"06500793",
245
    00000234 => x"00f41663",
246
    00000235 => x"02c000ef",
247
    00000236 => x"f8dff06f",
248
    00000237 => x"03f00793",
249
    00000238 => x"f94c0513",
250
    00000239 => x"00f40463",
251
    00000240 => x"fa848513",
252
    00000241 => x"141000ef",
253
    00000242 => x"f75ff06f",
254
    00000243 => x"ffff1537",
255
    00000244 => x"db850513",
256
    00000245 => x"1310006f",
257
    00000246 => x"800007b7",
258
    00000247 => x"0007a783",
259
    00000248 => x"00079863",
260
    00000249 => x"ffff1537",
261
    00000250 => x"e1c50513",
262
    00000251 => x"1190006f",
263
    00000252 => x"ff010113",
264
    00000253 => x"00112623",
265
    00000254 => x"30047073",
266
    00000255 => x"00000013",
267
    00000256 => x"00000013",
268
    00000257 => x"ffff1537",
269
    00000258 => x"e3850513",
270
    00000259 => x"0f9000ef",
271
    00000260 => x"075000ef",
272
    00000261 => x"fe051ee3",
273
    00000262 => x"ff002783",
274
    00000263 => x"00078067",
275
    00000264 => x"0000006f",
276
    00000265 => x"ff010113",
277
    00000266 => x"00812423",
278
    00000267 => x"00050413",
279
    00000268 => x"ffff1537",
280
    00000269 => x"e4850513",
281
    00000270 => x"00112623",
282
    00000271 => x"0c9000ef",
283
    00000272 => x"03040513",
284
    00000273 => x"0ff57513",
285
    00000274 => x"02d000ef",
286
    00000275 => x"30047073",
287
    00000276 => x"00000013",
288
    00000277 => x"00000013",
289
    00000278 => x"00100513",
290
    00000279 => x"155000ef",
291
    00000280 => x"0000006f",
292
    00000281 => x"fe010113",
293
    00000282 => x"01212823",
294
    00000283 => x"00050913",
295
    00000284 => x"ffff1537",
296
    00000285 => x"00912a23",
297
    00000286 => x"e6050513",
298
    00000287 => x"ffff14b7",
299
    00000288 => x"00812c23",
300
    00000289 => x"01312623",
301
    00000290 => x"00112e23",
302
    00000291 => x"01c00413",
303
    00000292 => x"075000ef",
304
    00000293 => x"fb448493",
305
    00000294 => x"ffc00993",
306
    00000295 => x"008957b3",
307
    00000296 => x"00f7f793",
308
    00000297 => x"00f487b3",
309
    00000298 => x"0007c503",
310
    00000299 => x"ffc40413",
311
    00000300 => x"7c4000ef",
312
    00000301 => x"ff3414e3",
313
    00000302 => x"01c12083",
314
    00000303 => x"01812403",
315
    00000304 => x"01412483",
316
    00000305 => x"01012903",
317
    00000306 => x"00c12983",
318
    00000307 => x"02010113",
319
    00000308 => x"00008067",
320
    00000309 => x"fb010113",
321
    00000310 => x"04112623",
322
    00000311 => x"04512423",
323
    00000312 => x"04612223",
324
    00000313 => x"04712023",
325
    00000314 => x"02812e23",
326
    00000315 => x"02a12c23",
327
    00000316 => x"02b12a23",
328
    00000317 => x"02c12823",
329
    00000318 => x"02d12623",
330
    00000319 => x"02e12423",
331
    00000320 => x"02f12223",
332
    00000321 => x"03012023",
333
    00000322 => x"01112e23",
334
    00000323 => x"01c12c23",
335
    00000324 => x"01d12a23",
336
    00000325 => x"01e12823",
337
    00000326 => x"01f12623",
338
    00000327 => x"34202473",
339
    00000328 => x"800007b7",
340
    00000329 => x"00778793",
341
    00000330 => x"06f41a63",
342
    00000331 => x"00000513",
343
    00000332 => x"065000ef",
344
    00000333 => x"64c000ef",
345
    00000334 => x"fe002783",
346
    00000335 => x"0027d793",
347
    00000336 => x"00a78533",
348
    00000337 => x"00f537b3",
349
    00000338 => x"00b785b3",
350
    00000339 => x"660000ef",
351
    00000340 => x"03c12403",
352
    00000341 => x"04c12083",
353
    00000342 => x"04812283",
354
    00000343 => x"04412303",
355
    00000344 => x"04012383",
356
    00000345 => x"03812503",
357
    00000346 => x"03412583",
358
    00000347 => x"03012603",
359
    00000348 => x"02c12683",
360
    00000349 => x"02812703",
361
    00000350 => x"02412783",
362
    00000351 => x"02012803",
363
    00000352 => x"01c12883",
364
    00000353 => x"01812e03",
365
    00000354 => x"01412e83",
366
    00000355 => x"01012f03",
367
    00000356 => x"00c12f83",
368
    00000357 => x"05010113",
369
    00000358 => x"30200073",
370
    00000359 => x"00700793",
371
    00000360 => x"00f41863",
372
    00000361 => x"8041a783",
373
    00000362 => x"00100513",
374
    00000363 => x"02079863",
375 51 zero_gravi
    00000364 => x"ffff1537",
376 55 zero_gravi
    00000365 => x"e5450513",
377
    00000366 => x"74c000ef",
378
    00000367 => x"00040513",
379
    00000368 => x"ea5ff0ef",
380
    00000369 => x"ffff1537",
381
    00000370 => x"e5c50513",
382
    00000371 => x"738000ef",
383
    00000372 => x"34102573",
384
    00000373 => x"e91ff0ef",
385
    00000374 => x"00500513",
386
    00000375 => x"e49ff0ef",
387
    00000376 => x"ff010113",
388
    00000377 => x"00000513",
389
    00000378 => x"00112623",
390
    00000379 => x"00812423",
391
    00000380 => x"74c000ef",
392
    00000381 => x"09e00513",
393
    00000382 => x"788000ef",
394
    00000383 => x"00000513",
395
    00000384 => x"780000ef",
396
    00000385 => x"00050413",
397
    00000386 => x"00000513",
398
    00000387 => x"750000ef",
399
    00000388 => x"00c12083",
400
    00000389 => x"0ff47513",
401
    00000390 => x"00812403",
402
    00000391 => x"01010113",
403
    00000392 => x"00008067",
404
    00000393 => x"ff010113",
405
    00000394 => x"00112623",
406
    00000395 => x"00812423",
407
    00000396 => x"00000513",
408
    00000397 => x"708000ef",
409
    00000398 => x"00500513",
410
    00000399 => x"744000ef",
411
    00000400 => x"00000513",
412
    00000401 => x"73c000ef",
413
    00000402 => x"00050413",
414
    00000403 => x"00147413",
415
    00000404 => x"00000513",
416
    00000405 => x"708000ef",
417
    00000406 => x"fc041ce3",
418
    00000407 => x"00c12083",
419
    00000408 => x"00812403",
420
    00000409 => x"01010113",
421
    00000410 => x"00008067",
422
    00000411 => x"ff010113",
423
    00000412 => x"00000513",
424
    00000413 => x"00112623",
425
    00000414 => x"6c4000ef",
426
    00000415 => x"00600513",
427
    00000416 => x"700000ef",
428
    00000417 => x"00c12083",
429
    00000418 => x"00000513",
430
    00000419 => x"01010113",
431
    00000420 => x"6cc0006f",
432
    00000421 => x"ff010113",
433
    00000422 => x"00812423",
434
    00000423 => x"00050413",
435
    00000424 => x"01055513",
436
    00000425 => x"0ff57513",
437
    00000426 => x"00112623",
438
    00000427 => x"6d4000ef",
439
    00000428 => x"00845513",
440
    00000429 => x"0ff57513",
441
    00000430 => x"6c8000ef",
442
    00000431 => x"0ff47513",
443
    00000432 => x"00812403",
444
    00000433 => x"00c12083",
445
    00000434 => x"01010113",
446
    00000435 => x"6b40006f",
447
    00000436 => x"ff010113",
448
    00000437 => x"00812423",
449
    00000438 => x"00050413",
450
    00000439 => x"00000513",
451
    00000440 => x"00112623",
452
    00000441 => x"658000ef",
453
    00000442 => x"00300513",
454
    00000443 => x"694000ef",
455
    00000444 => x"00040513",
456
    00000445 => x"fa1ff0ef",
457
    00000446 => x"00000513",
458
    00000447 => x"684000ef",
459
    00000448 => x"00050413",
460
    00000449 => x"00000513",
461
    00000450 => x"654000ef",
462
    00000451 => x"00c12083",
463
    00000452 => x"0ff47513",
464
    00000453 => x"00812403",
465
    00000454 => x"01010113",
466
    00000455 => x"00008067",
467
    00000456 => x"fd010113",
468
    00000457 => x"02812423",
469
    00000458 => x"02912223",
470
    00000459 => x"03212023",
471
    00000460 => x"01312e23",
472
    00000461 => x"01412c23",
473
    00000462 => x"02112623",
474
    00000463 => x"00050913",
475
    00000464 => x"00058993",
476
    00000465 => x"00c10493",
477
    00000466 => x"00000413",
478
    00000467 => x"00400a13",
479
    00000468 => x"02091e63",
480
    00000469 => x"544000ef",
481
    00000470 => x"00a481a3",
482
    00000471 => x"00140413",
483
    00000472 => x"fff48493",
484
    00000473 => x"ff4416e3",
485
    00000474 => x"02c12083",
486
    00000475 => x"02812403",
487
    00000476 => x"00c12503",
488
    00000477 => x"02412483",
489
    00000478 => x"02012903",
490
    00000479 => x"01c12983",
491
    00000480 => x"01812a03",
492
    00000481 => x"03010113",
493
    00000482 => x"00008067",
494
    00000483 => x"00898533",
495
    00000484 => x"f41ff0ef",
496
    00000485 => x"fc5ff06f",
497
    00000486 => x"fd010113",
498
    00000487 => x"01412c23",
499
    00000488 => x"80418793",
500
    00000489 => x"02812423",
501
    00000490 => x"02112623",
502
    00000491 => x"02912223",
503
    00000492 => x"03212023",
504
    00000493 => x"01312e23",
505
    00000494 => x"01512a23",
506
    00000495 => x"01612823",
507
    00000496 => x"01712623",
508
    00000497 => x"01812423",
509
    00000498 => x"00100713",
510
    00000499 => x"00e7a023",
511 51 zero_gravi
    00000500 => x"fe802783",
512 55 zero_gravi
    00000501 => x"00050413",
513
    00000502 => x"80418a13",
514
    00000503 => x"0087f793",
515
    00000504 => x"00078a63",
516
    00000505 => x"fe802783",
517
    00000506 => x"00400513",
518
    00000507 => x"0047f793",
519
    00000508 => x"04079663",
520
    00000509 => x"02041863",
521
    00000510 => x"ffff1537",
522
    00000511 => x"e6450513",
523
    00000512 => x"504000ef",
524
    00000513 => x"008005b7",
525
    00000514 => x"00040513",
526
    00000515 => x"f15ff0ef",
527
    00000516 => x"4788d7b7",
528
    00000517 => x"afe78793",
529
    00000518 => x"02f50463",
530
    00000519 => x"00000513",
531
    00000520 => x"01c0006f",
532
    00000521 => x"ffff1537",
533
    00000522 => x"e8450513",
534
    00000523 => x"4d8000ef",
535
    00000524 => x"db1ff0ef",
536
    00000525 => x"fc0518e3",
537
    00000526 => x"00300513",
538
    00000527 => x"be9ff0ef",
539
    00000528 => x"008009b7",
540
    00000529 => x"00498593",
541
    00000530 => x"00040513",
542
    00000531 => x"ed5ff0ef",
543
    00000532 => x"00050a93",
544
    00000533 => x"00898593",
545
    00000534 => x"00040513",
546
    00000535 => x"ec5ff0ef",
547
    00000536 => x"ff002c03",
548
    00000537 => x"00050b13",
549
    00000538 => x"ffcafb93",
550
    00000539 => x"00000913",
551
    00000540 => x"00000493",
552
    00000541 => x"00c98993",
553
    00000542 => x"013905b3",
554
    00000543 => x"052b9c63",
555
    00000544 => x"016484b3",
556
    00000545 => x"00200513",
557
    00000546 => x"fa049ae3",
558
    00000547 => x"ffff1537",
559
    00000548 => x"e9050513",
560
    00000549 => x"470000ef",
561
    00000550 => x"02c12083",
562
    00000551 => x"02812403",
563
    00000552 => x"800007b7",
564
    00000553 => x"0157a023",
565
    00000554 => x"000a2023",
566
    00000555 => x"02412483",
567
    00000556 => x"02012903",
568
    00000557 => x"01c12983",
569
    00000558 => x"01812a03",
570
    00000559 => x"01412a83",
571
    00000560 => x"01012b03",
572
    00000561 => x"00c12b83",
573
    00000562 => x"00812c03",
574
    00000563 => x"03010113",
575
    00000564 => x"00008067",
576
    00000565 => x"00040513",
577
    00000566 => x"e49ff0ef",
578
    00000567 => x"012c07b3",
579
    00000568 => x"00a484b3",
580
    00000569 => x"00a7a023",
581
    00000570 => x"00490913",
582
    00000571 => x"f8dff06f",
583
    00000572 => x"ff010113",
584
    00000573 => x"00112623",
585
    00000574 => x"ea1ff0ef",
586
    00000575 => x"ffff1537",
587
    00000576 => x"e9450513",
588
    00000577 => x"400000ef",
589
    00000578 => x"ad1ff0ef",
590
    00000579 => x"0000006f",
591
    00000580 => x"ff010113",
592
    00000581 => x"00112623",
593
    00000582 => x"00812423",
594
    00000583 => x"00912223",
595
    00000584 => x"00058413",
596
    00000585 => x"00050493",
597
    00000586 => x"d45ff0ef",
598
    00000587 => x"00000513",
599
    00000588 => x"40c000ef",
600
    00000589 => x"00200513",
601
    00000590 => x"448000ef",
602
    00000591 => x"00048513",
603
    00000592 => x"d55ff0ef",
604
    00000593 => x"00040513",
605
    00000594 => x"438000ef",
606
    00000595 => x"00000513",
607
    00000596 => x"40c000ef",
608
    00000597 => x"00812403",
609
    00000598 => x"00c12083",
610
    00000599 => x"00412483",
611
    00000600 => x"01010113",
612
    00000601 => x"cc1ff06f",
613
    00000602 => x"fe010113",
614
    00000603 => x"00812c23",
615
    00000604 => x"00912a23",
616
    00000605 => x"01212823",
617
    00000606 => x"00112e23",
618
    00000607 => x"00b12623",
619
    00000608 => x"00300413",
620
    00000609 => x"00350493",
621
    00000610 => x"fff00913",
622
    00000611 => x"00c10793",
623
    00000612 => x"008787b3",
624
    00000613 => x"0007c583",
625
    00000614 => x"40848533",
626
    00000615 => x"fff40413",
627
    00000616 => x"f71ff0ef",
628
    00000617 => x"ff2414e3",
629
    00000618 => x"01c12083",
630
    00000619 => x"01812403",
631
    00000620 => x"01412483",
632
    00000621 => x"01012903",
633
    00000622 => x"02010113",
634
    00000623 => x"00008067",
635
    00000624 => x"ff010113",
636
    00000625 => x"00112623",
637
    00000626 => x"00812423",
638
    00000627 => x"00050413",
639
    00000628 => x"c9dff0ef",
640
    00000629 => x"00000513",
641
    00000630 => x"364000ef",
642
    00000631 => x"0d800513",
643
    00000632 => x"3a0000ef",
644
    00000633 => x"00040513",
645
    00000634 => x"cadff0ef",
646
    00000635 => x"00000513",
647
    00000636 => x"36c000ef",
648
    00000637 => x"00812403",
649
    00000638 => x"00c12083",
650
    00000639 => x"01010113",
651
    00000640 => x"c25ff06f",
652
    00000641 => x"fe010113",
653
    00000642 => x"800007b7",
654
    00000643 => x"00812c23",
655
    00000644 => x"0007a403",
656
    00000645 => x"00112e23",
657
    00000646 => x"00912a23",
658
    00000647 => x"01212823",
659
    00000648 => x"01312623",
660
    00000649 => x"01412423",
661
    00000650 => x"01512223",
662
    00000651 => x"02041863",
663
    00000652 => x"ffff1537",
664
    00000653 => x"e1c50513",
665
    00000654 => x"01812403",
666
    00000655 => x"01c12083",
667
    00000656 => x"01412483",
668
    00000657 => x"01012903",
669
    00000658 => x"00c12983",
670
    00000659 => x"00812a03",
671
    00000660 => x"00412a83",
672
    00000661 => x"02010113",
673
    00000662 => x"2ac0006f",
674 51 zero_gravi
    00000663 => x"ffff1537",
675 55 zero_gravi
    00000664 => x"e9850513",
676
    00000665 => x"2a0000ef",
677
    00000666 => x"00040513",
678
    00000667 => x"9f9ff0ef",
679 51 zero_gravi
    00000668 => x"ffff1537",
680 55 zero_gravi
    00000669 => x"ea450513",
681
    00000670 => x"28c000ef",
682
    00000671 => x"00800537",
683
    00000672 => x"9e5ff0ef",
684
    00000673 => x"ffff1537",
685
    00000674 => x"ec050513",
686
    00000675 => x"278000ef",
687
    00000676 => x"208000ef",
688
    00000677 => x"00050493",
689
    00000678 => x"1dc000ef",
690
    00000679 => x"07900793",
691
    00000680 => x"0af49e63",
692
    00000681 => x"b3dff0ef",
693
    00000682 => x"00051663",
694
    00000683 => x"00300513",
695
    00000684 => x"975ff0ef",
696
    00000685 => x"ffff1537",
697
    00000686 => x"ecc50513",
698
    00000687 => x"01045493",
699
    00000688 => x"244000ef",
700
    00000689 => x"00148493",
701
    00000690 => x"00800937",
702
    00000691 => x"fff00993",
703
    00000692 => x"00010a37",
704
    00000693 => x"fff48493",
705
    00000694 => x"07349063",
706
    00000695 => x"4788d5b7",
707
    00000696 => x"afe58593",
708
    00000697 => x"00800537",
709
    00000698 => x"e81ff0ef",
710
    00000699 => x"00800537",
711
    00000700 => x"00040593",
712
    00000701 => x"00450513",
713
    00000702 => x"e71ff0ef",
714
    00000703 => x"ff002a03",
715
    00000704 => x"008009b7",
716
    00000705 => x"ffc47413",
717
    00000706 => x"00000493",
718
    00000707 => x"00000913",
719
    00000708 => x"00c98a93",
720
    00000709 => x"01548533",
721
    00000710 => x"009a07b3",
722
    00000711 => x"02849663",
723
    00000712 => x"00898513",
724
    00000713 => x"412005b3",
725
    00000714 => x"e41ff0ef",
726
    00000715 => x"ffff1537",
727
    00000716 => x"e9050513",
728
    00000717 => x"f05ff06f",
729
    00000718 => x"00090513",
730
    00000719 => x"e85ff0ef",
731
    00000720 => x"01490933",
732
    00000721 => x"f91ff06f",
733
    00000722 => x"0007a583",
734
    00000723 => x"00448493",
735
    00000724 => x"00b90933",
736
    00000725 => x"e15ff0ef",
737
    00000726 => x"fbdff06f",
738
    00000727 => x"01c12083",
739
    00000728 => x"01812403",
740
    00000729 => x"01412483",
741
    00000730 => x"01012903",
742
    00000731 => x"00c12983",
743
    00000732 => x"00812a03",
744
    00000733 => x"00412a83",
745
    00000734 => x"02010113",
746
    00000735 => x"00008067",
747
    00000736 => x"ff010113",
748
    00000737 => x"f9402783",
749
    00000738 => x"f9002703",
750
    00000739 => x"f9402683",
751
    00000740 => x"fed79ae3",
752
    00000741 => x"00e12023",
753
    00000742 => x"00f12223",
754
    00000743 => x"00012503",
755
    00000744 => x"00412583",
756
    00000745 => x"01010113",
757
    00000746 => x"00008067",
758
    00000747 => x"f9800693",
759
    00000748 => x"fff00613",
760
    00000749 => x"00c6a023",
761
    00000750 => x"00a6a023",
762
    00000751 => x"00b6a223",
763
    00000752 => x"00008067",
764
    00000753 => x"fa402503",
765
    00000754 => x"0ff57513",
766
    00000755 => x"00008067",
767
    00000756 => x"fa002023",
768
    00000757 => x"fe002703",
769
    00000758 => x"00151513",
770
    00000759 => x"00000793",
771
    00000760 => x"04a77463",
772
    00000761 => x"000016b7",
773
    00000762 => x"00000713",
774
    00000763 => x"ffe68693",
775
    00000764 => x"04f6e663",
776
    00000765 => x"00367613",
777
    00000766 => x"0035f593",
778
    00000767 => x"fff78793",
779
    00000768 => x"01461613",
780
    00000769 => x"00c7e7b3",
781
    00000770 => x"01659593",
782
    00000771 => x"01871713",
783
    00000772 => x"00b7e7b3",
784
    00000773 => x"00e7e7b3",
785
    00000774 => x"10000737",
786
    00000775 => x"00e7e7b3",
787
    00000776 => x"faf02023",
788
    00000777 => x"00008067",
789
    00000778 => x"00178793",
790
    00000779 => x"01079793",
791
    00000780 => x"40a70733",
792
    00000781 => x"0107d793",
793
    00000782 => x"fa9ff06f",
794
    00000783 => x"ffe70513",
795
    00000784 => x"0fd57513",
796
    00000785 => x"00051a63",
797
    00000786 => x"0037d793",
798
    00000787 => x"00170713",
799
    00000788 => x"0ff77713",
800
    00000789 => x"f9dff06f",
801
    00000790 => x"0017d793",
802
    00000791 => x"ff1ff06f",
803
    00000792 => x"f71ff06f",
804
    00000793 => x"fa002783",
805
    00000794 => x"fe07cee3",
806
    00000795 => x"faa02223",
807
    00000796 => x"00008067",
808
    00000797 => x"ff1ff06f",
809
    00000798 => x"fa002503",
810
    00000799 => x"01f55513",
811 50 zero_gravi
    00000800 => x"00008067",
812 55 zero_gravi
    00000801 => x"ff5ff06f",
813 51 zero_gravi
    00000802 => x"fa402503",
814 55 zero_gravi
    00000803 => x"fe055ee3",
815
    00000804 => x"0ff57513",
816
    00000805 => x"00008067",
817
    00000806 => x"ff1ff06f",
818
    00000807 => x"fa402503",
819
    00000808 => x"01f55513",
820
    00000809 => x"00008067",
821
    00000810 => x"ff5ff06f",
822
    00000811 => x"ff010113",
823
    00000812 => x"00812423",
824
    00000813 => x"01212023",
825
    00000814 => x"00112623",
826
    00000815 => x"00912223",
827
    00000816 => x"00050413",
828
    00000817 => x"00a00913",
829
    00000818 => x"00044483",
830
    00000819 => x"00140413",
831
    00000820 => x"00049e63",
832
    00000821 => x"00c12083",
833
    00000822 => x"00812403",
834
    00000823 => x"00412483",
835
    00000824 => x"00012903",
836
    00000825 => x"01010113",
837
    00000826 => x"00008067",
838
    00000827 => x"01249663",
839
    00000828 => x"00d00513",
840
    00000829 => x"f71ff0ef",
841
    00000830 => x"00048513",
842
    00000831 => x"f69ff0ef",
843
    00000832 => x"fc9ff06f",
844
    00000833 => x"fa9ff06f",
845
    00000834 => x"00757513",
846
    00000835 => x"00367613",
847
    00000836 => x"0015f593",
848
    00000837 => x"00a51513",
849
    00000838 => x"00d61613",
850
    00000839 => x"00c56533",
851
    00000840 => x"00959593",
852
    00000841 => x"fa800793",
853
    00000842 => x"00b56533",
854
    00000843 => x"0007a023",
855
    00000844 => x"10056513",
856
    00000845 => x"00a7a023",
857
    00000846 => x"00008067",
858
    00000847 => x"fa800713",
859
    00000848 => x"00072683",
860
    00000849 => x"00757793",
861
    00000850 => x"00100513",
862
    00000851 => x"00f51533",
863
    00000852 => x"00d56533",
864
    00000853 => x"00a72023",
865
    00000854 => x"00008067",
866
    00000855 => x"fa800713",
867
    00000856 => x"00072683",
868
    00000857 => x"00757513",
869
    00000858 => x"00100793",
870
    00000859 => x"00a797b3",
871
    00000860 => x"fff7c793",
872
    00000861 => x"00d7f7b3",
873
    00000862 => x"00f72023",
874 51 zero_gravi
    00000863 => x"00008067",
875 55 zero_gravi
    00000864 => x"faa02623",
876
    00000865 => x"fa802783",
877
    00000866 => x"fe07cee3",
878
    00000867 => x"fac02503",
879
    00000868 => x"00008067",
880
    00000869 => x"f8400713",
881
    00000870 => x"00072683",
882
    00000871 => x"00100793",
883
    00000872 => x"00a797b3",
884
    00000873 => x"00d7c7b3",
885
    00000874 => x"00f72023",
886
    00000875 => x"00008067",
887
    00000876 => x"f8a02223",
888
    00000877 => x"00008067",
889
    00000878 => x"69617641",
890
    00000879 => x"6c62616c",
891
    00000880 => x"4d432065",
892
    00000881 => x"0a3a7344",
893
    00000882 => x"203a6820",
894
    00000883 => x"706c6548",
895
    00000884 => x"3a72200a",
896
    00000885 => x"73655220",
897
    00000886 => x"74726174",
898
    00000887 => x"3a75200a",
899
    00000888 => x"6c705520",
900
    00000889 => x"0a64616f",
901
    00000890 => x"203a7320",
902
    00000891 => x"726f7453",
903
    00000892 => x"6f742065",
904 51 zero_gravi
    00000893 => x"616c6620",
905
    00000894 => x"200a6873",
906 55 zero_gravi
    00000895 => x"4c203a6c",
907
    00000896 => x"2064616f",
908
    00000897 => x"6d6f7266",
909
    00000898 => x"616c6620",
910
    00000899 => x"200a6873",
911
    00000900 => x"45203a65",
912
    00000901 => x"75636578",
913
    00000902 => x"00006574",
914
    00000903 => x"65206f4e",
915
    00000904 => x"75636578",
916
    00000905 => x"6c626174",
917
    00000906 => x"76612065",
918
    00000907 => x"616c6961",
919
    00000908 => x"2e656c62",
920
    00000909 => x"00000000",
921
    00000910 => x"746f6f42",
922
    00000911 => x"2e676e69",
923
    00000912 => x"0a0a2e2e",
924
    00000913 => x"00000000",
925
    00000914 => x"52450a07",
926
    00000915 => x"5f524f52",
927
    00000916 => x"00000000",
928
    00000917 => x"58450a0a",
929
    00000918 => x"00282043",
930
    00000919 => x"20402029",
931
    00000920 => x"00007830",
932
    00000921 => x"69617741",
933
    00000922 => x"676e6974",
934
    00000923 => x"6f656e20",
935
    00000924 => x"32337672",
936
    00000925 => x"6578655f",
937
    00000926 => x"6e69622e",
938
    00000927 => x"202e2e2e",
939
    00000928 => x"00000000",
940
    00000929 => x"64616f4c",
941
    00000930 => x"2e676e69",
942
    00000931 => x"00202e2e",
943
    00000932 => x"00004b4f",
944
    00000933 => x"0000000a",
945
    00000934 => x"74697257",
946
    00000935 => x"78302065",
947
    00000936 => x"00000000",
948
    00000937 => x"74796220",
949
    00000938 => x"74207365",
950
    00000939 => x"5053206f",
951
    00000940 => x"6c662049",
952
    00000941 => x"20687361",
953
    00000942 => x"78302040",
954
    00000943 => x"00000000",
955
    00000944 => x"7928203f",
956
    00000945 => x"20296e2f",
957
    00000946 => x"00000000",
958
    00000947 => x"616c460a",
959
    00000948 => x"6e696873",
960
    00000949 => x"2e2e2e67",
961
    00000950 => x"00000020",
962
    00000951 => x"0a0a0a0a",
963
    00000952 => x"4e203c3c",
964
    00000953 => x"56524f45",
965
    00000954 => x"42203233",
966
    00000955 => x"6c746f6f",
967
    00000956 => x"6564616f",
968
    00000957 => x"3e3e2072",
969
    00000958 => x"4c420a0a",
970
    00000959 => x"203a5644",
971
    00000960 => x"2072614d",
972
    00000961 => x"32203332",
973
    00000962 => x"0a313230",
974
    00000963 => x"3a565748",
975
    00000964 => x"00002020",
976
    00000965 => x"4b4c430a",
977
    00000966 => x"0020203a",
978
    00000967 => x"4553550a",
979
    00000968 => x"00203a52",
980
    00000969 => x"53494d0a",
981
    00000970 => x"00203a41",
982
    00000971 => x"58455a0a",
983
    00000972 => x"00203a54",
984
    00000973 => x"4f52500a",
985
    00000974 => x"00203a43",
986
    00000975 => x"454d490a",
987
    00000976 => x"00203a4d",
988
    00000977 => x"74796220",
989
    00000978 => x"40207365",
990
    00000979 => x"00000020",
991
    00000980 => x"454d440a",
992
    00000981 => x"00203a4d",
993
    00000982 => x"75410a0a",
994
    00000983 => x"6f626f74",
995
    00000984 => x"6920746f",
996
    00000985 => x"7338206e",
997
    00000986 => x"7250202e",
998
    00000987 => x"20737365",
999
    00000988 => x"2079656b",
1000
    00000989 => x"61206f74",
1001
    00000990 => x"74726f62",
1002
    00000991 => x"00000a2e",
1003
    00000992 => x"726f6241",
1004
    00000993 => x"2e646574",
1005
    00000994 => x"00000a0a",
1006
    00000995 => x"444d430a",
1007
    00000996 => x"00203e3a",
1008
    00000997 => x"53207962",
1009
    00000998 => x"68706574",
1010
    00000999 => x"4e206e61",
1011
    00001000 => x"69746c6f",
1012
    00001001 => x"0000676e",
1013
    00001002 => x"61766e49",
1014
    00001003 => x"2064696c",
1015
    00001004 => x"00444d43",
1016
    00001005 => x"33323130",
1017
    00001006 => x"37363534",
1018
    00001007 => x"42413938",
1019
    00001008 => x"46454443",
1020 22 zero_gravi
    others   => x"00000000"
1021 2 zero_gravi
  );
1022
 
1023
end neorv32_bootloader_image;

powered by: WebSVN 2.1.0

© copyright 1999-2025 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.