OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_busswitch.vhd] - Blame information for rev 12

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Bus Switch >>                                                                    #
3
-- # ********************************************************************************************* #
4
-- # Allows to access a single peripheral bus ("p_bus") by two controller busses. Controller port  #
5
-- # A ("ca_bus") has priority over controller port B ("cb_bus").                                  #
6
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
10
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_busswitch is
46
  generic (
47
    PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
48
    PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
49
  );
50
  port (
51
    -- global control --
52
    clk_i           : in  std_ulogic; -- global clock, rising edge
53
    rstn_i          : in  std_ulogic; -- global reset, low-active, async
54
    -- controller interface a --
55
    ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
56
    ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
57
    ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
58
    ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
59
    ca_bus_we_i     : in  std_ulogic; -- write enable
60
    ca_bus_re_i     : in  std_ulogic; -- read enable
61
    ca_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
62
    ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
63
    ca_bus_err_o    : out std_ulogic; -- bus transfer error
64
    -- controller interface b --
65
    cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
66
    cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
67
    cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
68
    cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
69
    cb_bus_we_i     : in  std_ulogic; -- write enable
70
    cb_bus_re_i     : in  std_ulogic; -- read enable
71
    cb_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
72
    cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
73
    cb_bus_err_o    : out std_ulogic; -- bus transfer error
74
    -- peripheral bus --
75
    p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
76
    p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
77
    p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
78
    p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
79
    p_bus_we_o      : out std_ulogic; -- write enable
80
    p_bus_re_o      : out std_ulogic; -- read enable
81
    p_bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
82
    p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
83
    p_bus_err_i     : in  std_ulogic  -- bus transfer error
84
  );
85
end neorv32_busswitch;
86
 
87
architecture neorv32_busswitch_rtl of neorv32_busswitch is
88
 
89
  -- access buffer --
90
  signal ca_rd_req_buf : std_ulogic;
91
  signal ca_wr_req_buf : std_ulogic;
92
  signal cb_rd_req_buf : std_ulogic;
93
  signal cb_wr_req_buf : std_ulogic;
94
 
95
  -- access requests --
96
  signal ca_req_current  : std_ulogic;
97
  signal cb_req_current  : std_ulogic;
98
  signal ca_req_buffered : std_ulogic;
99
  signal cb_req_buffered : std_ulogic;
100
 
101
  -- internal bus lines --
102
  signal ca_bus_ack : std_ulogic;
103
  signal cb_bus_ack : std_ulogic;
104
  signal ca_bus_err : std_ulogic;
105
  signal cb_bus_err : std_ulogic;
106
  signal p_bus_we   : std_ulogic;
107
  signal p_bus_re   : std_ulogic;
108
 
109
  -- access arbiter --
110
  type arbiter_state_t is (IDLE, BUSY, RETIRE, BUSY_SWITCHED, RETIRE_SWITCHED);
111
  type arbiter_t is record
112
    state     : arbiter_state_t;
113
    state_nxt : arbiter_state_t;
114
    bus_sel   : std_ulogic;
115
    re_trig   : std_ulogic;
116
    we_trig   : std_ulogic;
117
  end record;
118
  signal arbiter : arbiter_t;
119
 
120
begin
121
 
122
  -- Access Buffer --------------------------------------------------------------------------
123
  -- -------------------------------------------------------------------------------------------
124
  access_buffer: process(rstn_i, clk_i)
125
  begin
126
    if (rstn_i = '0') then
127
      ca_rd_req_buf <= '0';
128
      ca_wr_req_buf <= '0';
129
      cb_rd_req_buf <= '0';
130
      cb_wr_req_buf <= '0';
131
    elsif rising_edge(clk_i) then
132
 
133
      -- controller A requests --
134
      if (ca_rd_req_buf = '0') and (ca_wr_req_buf = '0') then -- idle
135
        ca_rd_req_buf <= ca_bus_re_i;
136
        ca_wr_req_buf <= ca_bus_we_i;
137
      elsif (ca_bus_cancel_i = '1') or -- controller cancels access
138
            (ca_bus_err = '1') or -- peripheral cancels access
139
            (ca_bus_ack = '1') then -- normal termination
140
        ca_rd_req_buf <= '0';
141
        ca_wr_req_buf <= '0';
142
      end if;
143
 
144
      -- controller B requests --
145
      if (cb_rd_req_buf = '0') and (cb_wr_req_buf = '0') then
146
        cb_rd_req_buf <= cb_bus_re_i;
147
        cb_wr_req_buf <= cb_bus_we_i;
148
      elsif (cb_bus_cancel_i = '1') or -- controller cancels access
149
            (cb_bus_err = '1') or -- peripheral cancels access
150
            (cb_bus_ack = '1') then -- normal termination
151
        cb_rd_req_buf <= '0';
152
        cb_wr_req_buf <= '0';
153
      end if;
154
 
155
    end if;
156
  end process access_buffer;
157
 
158
  -- any current requests? --
159
  ca_req_current <= (ca_bus_re_i or ca_bus_we_i) when (PORT_CA_READ_ONLY = false) else ca_bus_re_i;
160
  cb_req_current <= (cb_bus_re_i or cb_bus_we_i) when (PORT_CB_READ_ONLY = false) else cb_bus_re_i;
161
 
162
  -- any buffered requests? --
163
  ca_req_buffered <= (ca_rd_req_buf or ca_wr_req_buf) when (PORT_CA_READ_ONLY = false) else ca_rd_req_buf;
164
  cb_req_buffered <= (cb_rd_req_buf or cb_wr_req_buf) when (PORT_CB_READ_ONLY = false) else cb_rd_req_buf;
165
 
166
 
167
  -- Access Arbiter Sync --------------------------------------------------------------------
168
  -- -------------------------------------------------------------------------------------------
169
  -- for registers that require a specific reset state --
170
  arbiter_sync: process(rstn_i, clk_i)
171
  begin
172
    if (rstn_i = '0') then
173
      arbiter.state <= IDLE;
174
    elsif rising_edge(clk_i) then
175
      arbiter.state <= arbiter.state_nxt;
176
    end if;
177
  end process arbiter_sync;
178
 
179
 
180
  -- Peripheral Bus Arbiter -----------------------------------------------------------------
181
  -- -------------------------------------------------------------------------------------------
182
  arbiter_comb: process(arbiter, ca_req_current, cb_req_current, ca_req_buffered, cb_req_buffered,
183
                        ca_rd_req_buf, ca_wr_req_buf, cb_rd_req_buf, cb_wr_req_buf,
184
                        ca_bus_cancel_i, cb_bus_cancel_i, p_bus_ack_i, p_bus_err_i)
185
  begin
186
    -- arbiter defaults --
187
    arbiter.state_nxt <= arbiter.state;
188
    arbiter.bus_sel   <= '0';
189
    arbiter.we_trig   <= '0';
190
    arbiter.re_trig   <= '0';
191
 
192
    -- state machine --
193
    case arbiter.state is
194
 
195
      when IDLE => -- Controller a has full bus access
196
      -- ------------------------------------------------------------
197
        if (ca_req_current = '1') then -- current request?
198
          arbiter.bus_sel   <= '0';
199
          arbiter.state_nxt <= BUSY;
200
        elsif (ca_req_buffered = '1') then -- buffered request?
201
          arbiter.bus_sel   <= '0';
202
          arbiter.state_nxt <= RETIRE;
203
        elsif (cb_req_current = '1') then -- current request from controller b?
204
          arbiter.bus_sel   <= '1';
205
          arbiter.state_nxt <= BUSY_SWITCHED;
206
        elsif (cb_req_buffered = '1') then -- buffered request from controller b?
207
          arbiter.bus_sel   <= '1';
208
          arbiter.state_nxt <= RETIRE_SWITCHED;
209
        end if;
210
 
211
      when BUSY => -- transaction in progress
212
      -- ------------------------------------------------------------
213
        arbiter.bus_sel <= '0';
214
        if (ca_bus_cancel_i = '1') or -- controller cancels access
215
           (p_bus_err_i = '1') or -- peripheral cancels access
216
           (p_bus_ack_i = '1') then -- normal termination
217
          arbiter.state_nxt <= IDLE;
218
        end if;
219
 
220
      when RETIRE => -- retire pending access
221
      -- ------------------------------------------------------------
222
        arbiter.bus_sel <= '0';
223
        if (PORT_CA_READ_ONLY = false) then
224
          arbiter.we_trig <= ca_wr_req_buf;
225
        end if;
226
        arbiter.re_trig   <= ca_rd_req_buf;
227
        arbiter.state_nxt <= BUSY;
228
 
229
      when BUSY_SWITCHED => -- switched transaction in progress
230
      -- ------------------------------------------------------------
231
        arbiter.bus_sel <= '1';
232
        if (cb_bus_cancel_i = '1') or -- controller cancels access
233
           (p_bus_err_i = '1') or -- peripheral cancels access
234
           (p_bus_ack_i = '1') then -- normal termination
235
          arbiter.state_nxt <= IDLE;
236
        end if;
237
 
238
      when RETIRE_SWITCHED => -- retire pending switched access
239
      -- ------------------------------------------------------------
240
        arbiter.bus_sel <= '1';
241
        if (PORT_CB_READ_ONLY = false) then
242
          arbiter.we_trig <= cb_wr_req_buf;
243
        end if;
244
        arbiter.re_trig   <= cb_rd_req_buf;
245
        arbiter.state_nxt <= BUSY_SWITCHED;
246
 
247
    end case;
248
  end process arbiter_comb;
249
 
250
 
251
  -- Peripheral Bus Switch ------------------------------------------------------------------
252
  -- -------------------------------------------------------------------------------------------
253
  p_bus_addr_o   <= ca_bus_addr_i   when (arbiter.bus_sel = '0') else cb_bus_addr_i;
254
  p_bus_wdata_o  <= cb_bus_wdata_i  when (PORT_CA_READ_ONLY = true) else ca_bus_wdata_i  when (PORT_CB_READ_ONLY = true) else
255
                    ca_bus_wdata_i  when (arbiter.bus_sel = '0') else cb_bus_wdata_i;
256
  p_bus_ben_o    <= cb_bus_ben_i    when (PORT_CA_READ_ONLY = true) else ca_bus_ben_i    when (PORT_CB_READ_ONLY = true) else
257
                    ca_bus_ben_i    when (arbiter.bus_sel = '0') else cb_bus_ben_i;
258
  p_bus_we       <= ca_bus_we_i     when (arbiter.bus_sel = '0') else cb_bus_we_i;
259
  p_bus_re       <= ca_bus_re_i     when (arbiter.bus_sel = '0') else cb_bus_re_i;
260
  p_bus_cancel_o <= ca_bus_cancel_i when (arbiter.bus_sel = '0') else cb_bus_cancel_i;
261
  p_bus_we_o     <= (p_bus_we or arbiter.we_trig);
262
  p_bus_re_o     <= (p_bus_re or arbiter.re_trig);
263
 
264
  ca_bus_rdata_o <= p_bus_rdata_i;
265
  cb_bus_rdata_o <= p_bus_rdata_i;
266
 
267
  ca_bus_ack     <= p_bus_ack_i and (not arbiter.bus_sel);
268
  cb_bus_ack     <= p_bus_ack_i and (    arbiter.bus_sel);
269
  ca_bus_ack_o   <= ca_bus_ack;
270
  cb_bus_ack_o   <= cb_bus_ack;
271
 
272
  ca_bus_err     <= p_bus_err_i and (not arbiter.bus_sel);
273
  cb_bus_err     <= p_bus_err_i and (    arbiter.bus_sel);
274
  ca_bus_err_o   <= ca_bus_err;
275
  cb_bus_err_o   <= cb_bus_err;
276
 
277
 
278
end neorv32_busswitch_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.