OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_busswitch.vhd] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Bus Switch >>                                                                    #
3
-- # ********************************************************************************************* #
4
-- # Allows to access a single peripheral bus ("p_bus") by two controller busses. Controller port  #
5
-- # A ("ca_bus") has priority over controller port B ("cb_bus").                                  #
6
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
10
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_busswitch is
46
  generic (
47
    PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
48
    PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
49
  );
50
  port (
51
    -- global control --
52
    clk_i           : in  std_ulogic; -- global clock, rising edge
53
    rstn_i          : in  std_ulogic; -- global reset, low-active, async
54
    -- controller interface a --
55
    ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
56
    ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
57
    ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
58
    ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
59
    ca_bus_we_i     : in  std_ulogic; -- write enable
60
    ca_bus_re_i     : in  std_ulogic; -- read enable
61
    ca_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
62 39 zero_gravi
    ca_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
63 12 zero_gravi
    ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
64
    ca_bus_err_o    : out std_ulogic; -- bus transfer error
65
    -- controller interface b --
66
    cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
67
    cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
68
    cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
69
    cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
70
    cb_bus_we_i     : in  std_ulogic; -- write enable
71
    cb_bus_re_i     : in  std_ulogic; -- read enable
72
    cb_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
73 39 zero_gravi
    cb_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
74 12 zero_gravi
    cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
75
    cb_bus_err_o    : out std_ulogic; -- bus transfer error
76
    -- peripheral bus --
77 36 zero_gravi
    p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
78 12 zero_gravi
    p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
79
    p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
80
    p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
81
    p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
82
    p_bus_we_o      : out std_ulogic; -- write enable
83
    p_bus_re_o      : out std_ulogic; -- read enable
84
    p_bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
85 39 zero_gravi
    p_bus_lock_o    : out std_ulogic; -- locked/exclusive access
86 12 zero_gravi
    p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
87
    p_bus_err_i     : in  std_ulogic  -- bus transfer error
88
  );
89
end neorv32_busswitch;
90
 
91
architecture neorv32_busswitch_rtl of neorv32_busswitch is
92
 
93
  -- access buffer --
94
  signal ca_rd_req_buf : std_ulogic;
95
  signal ca_wr_req_buf : std_ulogic;
96
  signal cb_rd_req_buf : std_ulogic;
97
  signal cb_wr_req_buf : std_ulogic;
98
 
99
  -- access requests --
100
  signal ca_req_current  : std_ulogic;
101
  signal cb_req_current  : std_ulogic;
102
  signal ca_req_buffered : std_ulogic;
103
  signal cb_req_buffered : std_ulogic;
104
 
105
  -- internal bus lines --
106
  signal ca_bus_ack : std_ulogic;
107
  signal cb_bus_ack : std_ulogic;
108
  signal ca_bus_err : std_ulogic;
109
  signal cb_bus_err : std_ulogic;
110
  signal p_bus_we   : std_ulogic;
111
  signal p_bus_re   : std_ulogic;
112
 
113
  -- access arbiter --
114
  type arbiter_state_t is (IDLE, BUSY, RETIRE, BUSY_SWITCHED, RETIRE_SWITCHED);
115
  type arbiter_t is record
116
    state     : arbiter_state_t;
117
    state_nxt : arbiter_state_t;
118
    bus_sel   : std_ulogic;
119
    re_trig   : std_ulogic;
120
    we_trig   : std_ulogic;
121
  end record;
122
  signal arbiter : arbiter_t;
123
 
124
begin
125
 
126
  -- Access Buffer --------------------------------------------------------------------------
127
  -- -------------------------------------------------------------------------------------------
128
  access_buffer: process(rstn_i, clk_i)
129
  begin
130
    if (rstn_i = '0') then
131
      ca_rd_req_buf <= '0';
132
      ca_wr_req_buf <= '0';
133
      cb_rd_req_buf <= '0';
134
      cb_wr_req_buf <= '0';
135
    elsif rising_edge(clk_i) then
136
 
137
      -- controller A requests --
138
      if (ca_rd_req_buf = '0') and (ca_wr_req_buf = '0') then -- idle
139
        ca_rd_req_buf <= ca_bus_re_i;
140
        ca_wr_req_buf <= ca_bus_we_i;
141
      elsif (ca_bus_cancel_i = '1') or -- controller cancels access
142
            (ca_bus_err = '1') or -- peripheral cancels access
143
            (ca_bus_ack = '1') then -- normal termination
144
        ca_rd_req_buf <= '0';
145
        ca_wr_req_buf <= '0';
146
      end if;
147
 
148
      -- controller B requests --
149
      if (cb_rd_req_buf = '0') and (cb_wr_req_buf = '0') then
150
        cb_rd_req_buf <= cb_bus_re_i;
151
        cb_wr_req_buf <= cb_bus_we_i;
152
      elsif (cb_bus_cancel_i = '1') or -- controller cancels access
153
            (cb_bus_err = '1') or -- peripheral cancels access
154
            (cb_bus_ack = '1') then -- normal termination
155
        cb_rd_req_buf <= '0';
156
        cb_wr_req_buf <= '0';
157
      end if;
158
 
159
    end if;
160
  end process access_buffer;
161
 
162
  -- any current requests? --
163
  ca_req_current <= (ca_bus_re_i or ca_bus_we_i) when (PORT_CA_READ_ONLY = false) else ca_bus_re_i;
164
  cb_req_current <= (cb_bus_re_i or cb_bus_we_i) when (PORT_CB_READ_ONLY = false) else cb_bus_re_i;
165
 
166
  -- any buffered requests? --
167
  ca_req_buffered <= (ca_rd_req_buf or ca_wr_req_buf) when (PORT_CA_READ_ONLY = false) else ca_rd_req_buf;
168
  cb_req_buffered <= (cb_rd_req_buf or cb_wr_req_buf) when (PORT_CB_READ_ONLY = false) else cb_rd_req_buf;
169
 
170
 
171
  -- Access Arbiter Sync --------------------------------------------------------------------
172
  -- -------------------------------------------------------------------------------------------
173
  -- for registers that require a specific reset state --
174
  arbiter_sync: process(rstn_i, clk_i)
175
  begin
176
    if (rstn_i = '0') then
177
      arbiter.state <= IDLE;
178
    elsif rising_edge(clk_i) then
179
      arbiter.state <= arbiter.state_nxt;
180
    end if;
181
  end process arbiter_sync;
182
 
183
 
184
  -- Peripheral Bus Arbiter -----------------------------------------------------------------
185
  -- -------------------------------------------------------------------------------------------
186
  arbiter_comb: process(arbiter, ca_req_current, cb_req_current, ca_req_buffered, cb_req_buffered,
187
                        ca_rd_req_buf, ca_wr_req_buf, cb_rd_req_buf, cb_wr_req_buf,
188
                        ca_bus_cancel_i, cb_bus_cancel_i, p_bus_ack_i, p_bus_err_i)
189
  begin
190
    -- arbiter defaults --
191
    arbiter.state_nxt <= arbiter.state;
192
    arbiter.bus_sel   <= '0';
193
    arbiter.we_trig   <= '0';
194
    arbiter.re_trig   <= '0';
195 36 zero_gravi
    --
196
    p_bus_src_o <= '0';
197 12 zero_gravi
 
198
    -- state machine --
199
    case arbiter.state is
200
 
201
      when IDLE => -- Controller a has full bus access
202
      -- ------------------------------------------------------------
203 36 zero_gravi
        p_bus_src_o <= '0'; -- access from port A
204 12 zero_gravi
        if (ca_req_current = '1') then -- current request?
205
          arbiter.bus_sel   <= '0';
206
          arbiter.state_nxt <= BUSY;
207
        elsif (ca_req_buffered = '1') then -- buffered request?
208
          arbiter.bus_sel   <= '0';
209
          arbiter.state_nxt <= RETIRE;
210
        elsif (cb_req_current = '1') then -- current request from controller b?
211
          arbiter.bus_sel   <= '1';
212
          arbiter.state_nxt <= BUSY_SWITCHED;
213
        elsif (cb_req_buffered = '1') then -- buffered request from controller b?
214
          arbiter.bus_sel   <= '1';
215
          arbiter.state_nxt <= RETIRE_SWITCHED;
216
        end if;
217
 
218
      when BUSY => -- transaction in progress
219
      -- ------------------------------------------------------------
220 36 zero_gravi
        p_bus_src_o     <= '0'; -- access from port A
221 12 zero_gravi
        arbiter.bus_sel <= '0';
222
        if (ca_bus_cancel_i = '1') or -- controller cancels access
223
           (p_bus_err_i = '1') or -- peripheral cancels access
224
           (p_bus_ack_i = '1') then -- normal termination
225
          arbiter.state_nxt <= IDLE;
226
        end if;
227
 
228
      when RETIRE => -- retire pending access
229
      -- ------------------------------------------------------------
230 36 zero_gravi
        p_bus_src_o     <= '0'; -- access from port A
231 12 zero_gravi
        arbiter.bus_sel <= '0';
232
        if (PORT_CA_READ_ONLY = false) then
233
          arbiter.we_trig <= ca_wr_req_buf;
234
        end if;
235
        arbiter.re_trig   <= ca_rd_req_buf;
236
        arbiter.state_nxt <= BUSY;
237
 
238
      when BUSY_SWITCHED => -- switched transaction in progress
239
      -- ------------------------------------------------------------
240 36 zero_gravi
        p_bus_src_o     <= '1'; -- access from port B
241 12 zero_gravi
        arbiter.bus_sel <= '1';
242
        if (cb_bus_cancel_i = '1') or -- controller cancels access
243
           (p_bus_err_i = '1') or -- peripheral cancels access
244
           (p_bus_ack_i = '1') then -- normal termination
245
          arbiter.state_nxt <= IDLE;
246
        end if;
247
 
248
      when RETIRE_SWITCHED => -- retire pending switched access
249
      -- ------------------------------------------------------------
250 36 zero_gravi
        p_bus_src_o     <= '1'; -- access from port B
251 12 zero_gravi
        arbiter.bus_sel <= '1';
252
        if (PORT_CB_READ_ONLY = false) then
253
          arbiter.we_trig <= cb_wr_req_buf;
254
        end if;
255
        arbiter.re_trig   <= cb_rd_req_buf;
256
        arbiter.state_nxt <= BUSY_SWITCHED;
257
 
258
    end case;
259
  end process arbiter_comb;
260
 
261
 
262
  -- Peripheral Bus Switch ------------------------------------------------------------------
263
  -- -------------------------------------------------------------------------------------------
264 36 zero_gravi
  p_bus_addr_o   <= ca_bus_addr_i   when (arbiter.bus_sel = '0')    else cb_bus_addr_i;
265
  p_bus_wdata_o  <= cb_bus_wdata_i  when (PORT_CA_READ_ONLY = true) else ca_bus_wdata_i when (PORT_CB_READ_ONLY = true) else
266
                    ca_bus_wdata_i  when (arbiter.bus_sel = '0')    else cb_bus_wdata_i;
267
  p_bus_ben_o    <= cb_bus_ben_i    when (PORT_CA_READ_ONLY = true) else ca_bus_ben_i   when (PORT_CB_READ_ONLY = true) else
268
                    ca_bus_ben_i    when (arbiter.bus_sel = '0')    else cb_bus_ben_i;
269
  p_bus_we       <= ca_bus_we_i     when (arbiter.bus_sel = '0')    else cb_bus_we_i;
270
  p_bus_re       <= ca_bus_re_i     when (arbiter.bus_sel = '0')    else cb_bus_re_i;
271
  p_bus_cancel_o <= ca_bus_cancel_i when (arbiter.bus_sel = '0')    else cb_bus_cancel_i;
272 12 zero_gravi
  p_bus_we_o     <= (p_bus_we or arbiter.we_trig);
273
  p_bus_re_o     <= (p_bus_re or arbiter.re_trig);
274 39 zero_gravi
  p_bus_lock_o   <= ca_bus_lock_i or cb_bus_lock_i;
275 12 zero_gravi
 
276
  ca_bus_rdata_o <= p_bus_rdata_i;
277
  cb_bus_rdata_o <= p_bus_rdata_i;
278
 
279
  ca_bus_ack     <= p_bus_ack_i and (not arbiter.bus_sel);
280
  cb_bus_ack     <= p_bus_ack_i and (    arbiter.bus_sel);
281
  ca_bus_ack_o   <= ca_bus_ack;
282
  cb_bus_ack_o   <= cb_bus_ack;
283
 
284
  ca_bus_err     <= p_bus_err_i and (not arbiter.bus_sel);
285
  cb_bus_err     <= p_bus_err_i and (    arbiter.bus_sel);
286
  ca_bus_err_o   <= ca_bus_err;
287
  cb_bus_err_o   <= cb_bus_err;
288
 
289
 
290
end neorv32_busswitch_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.