1 |
12 |
zero_gravi |
-- #################################################################################################
|
2 |
|
|
-- # << NEORV32 - Bus Switch >> #
|
3 |
|
|
-- # ********************************************************************************************* #
|
4 |
73 |
zero_gravi |
-- # Allows to access a single peripheral bus ("p_bus") by two controller ports. Controller port A #
|
5 |
70 |
zero_gravi |
-- # ("ca_bus") has priority over controller port B ("cb_bus"). #
|
6 |
12 |
zero_gravi |
-- # ********************************************************************************************* #
|
7 |
|
|
-- # BSD 3-Clause License #
|
8 |
|
|
-- # #
|
9 |
70 |
zero_gravi |
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved. #
|
10 |
12 |
zero_gravi |
-- # #
|
11 |
|
|
-- # Redistribution and use in source and binary forms, with or without modification, are #
|
12 |
|
|
-- # permitted provided that the following conditions are met: #
|
13 |
|
|
-- # #
|
14 |
|
|
-- # 1. Redistributions of source code must retain the above copyright notice, this list of #
|
15 |
|
|
-- # conditions and the following disclaimer. #
|
16 |
|
|
-- # #
|
17 |
|
|
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of #
|
18 |
|
|
-- # conditions and the following disclaimer in the documentation and/or other materials #
|
19 |
|
|
-- # provided with the distribution. #
|
20 |
|
|
-- # #
|
21 |
|
|
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to #
|
22 |
|
|
-- # endorse or promote products derived from this software without specific prior written #
|
23 |
|
|
-- # permission. #
|
24 |
|
|
-- # #
|
25 |
|
|
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS #
|
26 |
|
|
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF #
|
27 |
|
|
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE #
|
28 |
|
|
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, #
|
29 |
|
|
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
|
30 |
|
|
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED #
|
31 |
|
|
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING #
|
32 |
|
|
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED #
|
33 |
|
|
-- # OF THE POSSIBILITY OF SUCH DAMAGE. #
|
34 |
|
|
-- # ********************************************************************************************* #
|
35 |
|
|
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting #
|
36 |
|
|
-- #################################################################################################
|
37 |
|
|
|
38 |
|
|
library ieee;
|
39 |
|
|
use ieee.std_logic_1164.all;
|
40 |
|
|
use ieee.numeric_std.all;
|
41 |
|
|
|
42 |
|
|
library neorv32;
|
43 |
|
|
use neorv32.neorv32_package.all;
|
44 |
|
|
|
45 |
|
|
entity neorv32_busswitch is
|
46 |
|
|
generic (
|
47 |
62 |
zero_gravi |
PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only
|
48 |
|
|
PORT_CB_READ_ONLY : boolean -- set if controller port B is read-only
|
49 |
12 |
zero_gravi |
);
|
50 |
|
|
port (
|
51 |
|
|
-- global control --
|
52 |
70 |
zero_gravi |
clk_i : in std_ulogic; -- global clock, rising edge
|
53 |
|
|
rstn_i : in std_ulogic; -- global reset, low-active, async
|
54 |
12 |
zero_gravi |
-- controller interface a --
|
55 |
70 |
zero_gravi |
ca_bus_addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
|
56 |
|
|
ca_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
|
57 |
|
|
ca_bus_wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
|
58 |
|
|
ca_bus_ben_i : in std_ulogic_vector(03 downto 0); -- byte enable
|
59 |
|
|
ca_bus_we_i : in std_ulogic; -- write enable
|
60 |
|
|
ca_bus_re_i : in std_ulogic; -- read enable
|
61 |
|
|
ca_bus_lock_i : in std_ulogic; -- exclusive access request
|
62 |
|
|
ca_bus_ack_o : out std_ulogic; -- bus transfer acknowledge
|
63 |
|
|
ca_bus_err_o : out std_ulogic; -- bus transfer error
|
64 |
12 |
zero_gravi |
-- controller interface b --
|
65 |
70 |
zero_gravi |
cb_bus_addr_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
|
66 |
|
|
cb_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
|
67 |
|
|
cb_bus_wdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
|
68 |
|
|
cb_bus_ben_i : in std_ulogic_vector(03 downto 0); -- byte enable
|
69 |
|
|
cb_bus_we_i : in std_ulogic; -- write enable
|
70 |
|
|
cb_bus_re_i : in std_ulogic; -- read enable
|
71 |
|
|
cb_bus_lock_i : in std_ulogic; -- exclusive access request
|
72 |
|
|
cb_bus_ack_o : out std_ulogic; -- bus transfer acknowledge
|
73 |
|
|
cb_bus_err_o : out std_ulogic; -- bus transfer error
|
74 |
12 |
zero_gravi |
-- peripheral bus --
|
75 |
70 |
zero_gravi |
p_bus_src_o : out std_ulogic; -- access source: 0 = A, 1 = B
|
76 |
|
|
p_bus_addr_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
|
77 |
|
|
p_bus_rdata_i : in std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
|
78 |
|
|
p_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
|
79 |
|
|
p_bus_ben_o : out std_ulogic_vector(03 downto 0); -- byte enable
|
80 |
|
|
p_bus_we_o : out std_ulogic; -- write enable
|
81 |
|
|
p_bus_re_o : out std_ulogic; -- read enable
|
82 |
|
|
p_bus_lock_o : out std_ulogic; -- exclusive access request
|
83 |
|
|
p_bus_ack_i : in std_ulogic; -- bus transfer acknowledge
|
84 |
|
|
p_bus_err_i : in std_ulogic -- bus transfer error
|
85 |
12 |
zero_gravi |
);
|
86 |
|
|
end neorv32_busswitch;
|
87 |
|
|
|
88 |
|
|
architecture neorv32_busswitch_rtl of neorv32_busswitch is
|
89 |
|
|
|
90 |
|
|
-- access requests --
|
91 |
42 |
zero_gravi |
signal ca_rd_req_buf, ca_wr_req_buf : std_ulogic;
|
92 |
|
|
signal cb_rd_req_buf, cb_wr_req_buf : std_ulogic;
|
93 |
|
|
signal ca_req_current, ca_req_buffered : std_ulogic;
|
94 |
|
|
signal cb_req_current, cb_req_buffered : std_ulogic;
|
95 |
12 |
zero_gravi |
|
96 |
|
|
-- internal bus lines --
|
97 |
42 |
zero_gravi |
signal ca_bus_ack, cb_bus_ack : std_ulogic;
|
98 |
|
|
signal ca_bus_err, cb_bus_err : std_ulogic;
|
99 |
|
|
signal p_bus_we, p_bus_re : std_ulogic;
|
100 |
12 |
zero_gravi |
|
101 |
|
|
-- access arbiter --
|
102 |
73 |
zero_gravi |
type arbiter_state_t is (IDLE, A_BUSY, A_RETIRE, B_BUSY, B_RETIRE);
|
103 |
12 |
zero_gravi |
type arbiter_t is record
|
104 |
|
|
state : arbiter_state_t;
|
105 |
|
|
state_nxt : arbiter_state_t;
|
106 |
|
|
bus_sel : std_ulogic;
|
107 |
|
|
re_trig : std_ulogic;
|
108 |
|
|
we_trig : std_ulogic;
|
109 |
|
|
end record;
|
110 |
|
|
signal arbiter : arbiter_t;
|
111 |
|
|
|
112 |
|
|
begin
|
113 |
|
|
|
114 |
73 |
zero_gravi |
-- Access Arbiter -------------------------------------------------------------------------
|
115 |
12 |
zero_gravi |
-- -------------------------------------------------------------------------------------------
|
116 |
73 |
zero_gravi |
arbiter_sync: process(rstn_i, clk_i)
|
117 |
12 |
zero_gravi |
begin
|
118 |
|
|
if (rstn_i = '0') then
|
119 |
73 |
zero_gravi |
arbiter.state <= IDLE;
|
120 |
12 |
zero_gravi |
ca_rd_req_buf <= '0';
|
121 |
|
|
ca_wr_req_buf <= '0';
|
122 |
|
|
cb_rd_req_buf <= '0';
|
123 |
|
|
cb_wr_req_buf <= '0';
|
124 |
|
|
elsif rising_edge(clk_i) then
|
125 |
73 |
zero_gravi |
-- arbiter --
|
126 |
|
|
arbiter.state <= arbiter.state_nxt;
|
127 |
12 |
zero_gravi |
-- controller A requests --
|
128 |
73 |
zero_gravi |
ca_rd_req_buf <= (ca_rd_req_buf or ca_bus_re_i) and (not (ca_bus_err or ca_bus_ack));
|
129 |
|
|
ca_wr_req_buf <= (ca_wr_req_buf or ca_bus_we_i) and (not (ca_bus_err or ca_bus_ack)) and (not bool_to_ulogic_f(PORT_CA_READ_ONLY));
|
130 |
12 |
zero_gravi |
-- controller B requests --
|
131 |
73 |
zero_gravi |
cb_rd_req_buf <= (cb_rd_req_buf or cb_bus_re_i) and (not (cb_bus_err or cb_bus_ack));
|
132 |
|
|
cb_wr_req_buf <= (cb_wr_req_buf or cb_bus_we_i) and (not (cb_bus_err or cb_bus_ack)) and (not bool_to_ulogic_f(PORT_CB_READ_ONLY));
|
133 |
12 |
zero_gravi |
end if;
|
134 |
73 |
zero_gravi |
end process arbiter_sync;
|
135 |
12 |
zero_gravi |
|
136 |
|
|
-- any current requests? --
|
137 |
|
|
ca_req_current <= (ca_bus_re_i or ca_bus_we_i) when (PORT_CA_READ_ONLY = false) else ca_bus_re_i;
|
138 |
|
|
cb_req_current <= (cb_bus_re_i or cb_bus_we_i) when (PORT_CB_READ_ONLY = false) else cb_bus_re_i;
|
139 |
|
|
|
140 |
|
|
-- any buffered requests? --
|
141 |
|
|
ca_req_buffered <= (ca_rd_req_buf or ca_wr_req_buf) when (PORT_CA_READ_ONLY = false) else ca_rd_req_buf;
|
142 |
|
|
cb_req_buffered <= (cb_rd_req_buf or cb_wr_req_buf) when (PORT_CB_READ_ONLY = false) else cb_rd_req_buf;
|
143 |
|
|
|
144 |
|
|
|
145 |
73 |
zero_gravi |
-- Bus Arbiter ----------------------------------------------------------------------------
|
146 |
12 |
zero_gravi |
-- -------------------------------------------------------------------------------------------
|
147 |
|
|
arbiter_comb: process(arbiter, ca_req_current, cb_req_current, ca_req_buffered, cb_req_buffered,
|
148 |
57 |
zero_gravi |
ca_rd_req_buf, ca_wr_req_buf, cb_rd_req_buf, cb_wr_req_buf, p_bus_ack_i, p_bus_err_i)
|
149 |
12 |
zero_gravi |
begin
|
150 |
|
|
-- arbiter defaults --
|
151 |
|
|
arbiter.state_nxt <= arbiter.state;
|
152 |
|
|
arbiter.bus_sel <= '0';
|
153 |
|
|
arbiter.we_trig <= '0';
|
154 |
|
|
arbiter.re_trig <= '0';
|
155 |
|
|
|
156 |
|
|
-- state machine --
|
157 |
|
|
case arbiter.state is
|
158 |
|
|
|
159 |
73 |
zero_gravi |
when IDLE => -- port A or B access
|
160 |
12 |
zero_gravi |
-- ------------------------------------------------------------
|
161 |
73 |
zero_gravi |
if (ca_req_current = '1') then -- current request from controller A?
|
162 |
|
|
arbiter.bus_sel <= '0'; -- access from port A
|
163 |
|
|
arbiter.state_nxt <= A_BUSY;
|
164 |
|
|
elsif (ca_req_buffered = '1') then -- buffered request from controller A?
|
165 |
|
|
arbiter.bus_sel <= '0'; -- access from port A
|
166 |
|
|
arbiter.state_nxt <= A_RETIRE;
|
167 |
|
|
elsif (cb_req_current = '1') then -- buffered request from controller B?
|
168 |
|
|
arbiter.bus_sel <= '1'; -- access from port B
|
169 |
|
|
arbiter.state_nxt <= B_BUSY;
|
170 |
|
|
elsif (cb_req_buffered = '1') then -- current request from controller B?
|
171 |
|
|
arbiter.bus_sel <= '1'; -- access from port B
|
172 |
|
|
arbiter.state_nxt <= B_RETIRE;
|
173 |
12 |
zero_gravi |
end if;
|
174 |
|
|
|
175 |
73 |
zero_gravi |
when A_BUSY => -- port A pending access
|
176 |
12 |
zero_gravi |
-- ------------------------------------------------------------
|
177 |
73 |
zero_gravi |
arbiter.bus_sel <= '0'; -- access from port A
|
178 |
|
|
if (p_bus_err_i = '1') or (p_bus_ack_i = '1') then -- termination
|
179 |
12 |
zero_gravi |
arbiter.state_nxt <= IDLE;
|
180 |
|
|
end if;
|
181 |
|
|
|
182 |
73 |
zero_gravi |
when A_RETIRE => -- port A trigger buffered access
|
183 |
12 |
zero_gravi |
-- ------------------------------------------------------------
|
184 |
73 |
zero_gravi |
arbiter.bus_sel <= '0'; -- access from port A
|
185 |
|
|
arbiter.we_trig <= ca_wr_req_buf;
|
186 |
12 |
zero_gravi |
arbiter.re_trig <= ca_rd_req_buf;
|
187 |
73 |
zero_gravi |
arbiter.state_nxt <= A_BUSY;
|
188 |
12 |
zero_gravi |
|
189 |
73 |
zero_gravi |
when B_BUSY => -- port B pending access
|
190 |
12 |
zero_gravi |
-- ------------------------------------------------------------
|
191 |
73 |
zero_gravi |
arbiter.bus_sel <= '1'; -- access from port B
|
192 |
|
|
if (p_bus_err_i = '1') or (p_bus_ack_i = '1') then -- termination
|
193 |
42 |
zero_gravi |
if (ca_req_buffered = '1') or (ca_req_current = '1') then -- any request from A?
|
194 |
73 |
zero_gravi |
arbiter.state_nxt <= A_RETIRE;
|
195 |
42 |
zero_gravi |
else
|
196 |
|
|
arbiter.state_nxt <= IDLE;
|
197 |
|
|
end if;
|
198 |
12 |
zero_gravi |
end if;
|
199 |
|
|
|
200 |
73 |
zero_gravi |
when B_RETIRE => -- port B trigger buffered access
|
201 |
12 |
zero_gravi |
-- ------------------------------------------------------------
|
202 |
73 |
zero_gravi |
arbiter.bus_sel <= '1'; -- access from port B
|
203 |
|
|
arbiter.we_trig <= cb_wr_req_buf;
|
204 |
12 |
zero_gravi |
arbiter.re_trig <= cb_rd_req_buf;
|
205 |
73 |
zero_gravi |
arbiter.state_nxt <= B_BUSY;
|
206 |
12 |
zero_gravi |
|
207 |
|
|
end case;
|
208 |
|
|
end process arbiter_comb;
|
209 |
|
|
|
210 |
|
|
|
211 |
|
|
-- Peripheral Bus Switch ------------------------------------------------------------------
|
212 |
|
|
-- -------------------------------------------------------------------------------------------
|
213 |
36 |
zero_gravi |
p_bus_addr_o <= ca_bus_addr_i when (arbiter.bus_sel = '0') else cb_bus_addr_i;
|
214 |
73 |
zero_gravi |
p_bus_wdata_o <= cb_bus_wdata_i when (PORT_CA_READ_ONLY = true) else
|
215 |
|
|
ca_bus_wdata_i when (PORT_CB_READ_ONLY = true) else
|
216 |
36 |
zero_gravi |
ca_bus_wdata_i when (arbiter.bus_sel = '0') else cb_bus_wdata_i;
|
217 |
73 |
zero_gravi |
p_bus_ben_o <= cb_bus_ben_i when (PORT_CA_READ_ONLY = true) else
|
218 |
|
|
ca_bus_ben_i when (PORT_CB_READ_ONLY = true) else
|
219 |
36 |
zero_gravi |
ca_bus_ben_i when (arbiter.bus_sel = '0') else cb_bus_ben_i;
|
220 |
|
|
p_bus_we <= ca_bus_we_i when (arbiter.bus_sel = '0') else cb_bus_we_i;
|
221 |
|
|
p_bus_re <= ca_bus_re_i when (arbiter.bus_sel = '0') else cb_bus_re_i;
|
222 |
12 |
zero_gravi |
p_bus_we_o <= (p_bus_we or arbiter.we_trig);
|
223 |
|
|
p_bus_re_o <= (p_bus_re or arbiter.re_trig);
|
224 |
57 |
zero_gravi |
p_bus_lock_o <= ca_bus_lock_i or cb_bus_lock_i;
|
225 |
73 |
zero_gravi |
p_bus_src_o <= arbiter.bus_sel;
|
226 |
12 |
zero_gravi |
|
227 |
|
|
ca_bus_rdata_o <= p_bus_rdata_i;
|
228 |
|
|
cb_bus_rdata_o <= p_bus_rdata_i;
|
229 |
|
|
|
230 |
|
|
ca_bus_ack <= p_bus_ack_i and (not arbiter.bus_sel);
|
231 |
|
|
cb_bus_ack <= p_bus_ack_i and ( arbiter.bus_sel);
|
232 |
|
|
ca_bus_ack_o <= ca_bus_ack;
|
233 |
|
|
cb_bus_ack_o <= cb_bus_ack;
|
234 |
|
|
|
235 |
|
|
ca_bus_err <= p_bus_err_i and (not arbiter.bus_sel);
|
236 |
|
|
cb_bus_err <= p_bus_err_i and ( arbiter.bus_sel);
|
237 |
|
|
ca_bus_err_o <= ca_bus_err;
|
238 |
|
|
cb_bus_err_o <= cb_bus_err;
|
239 |
|
|
|
240 |
|
|
|
241 |
|
|
end neorv32_busswitch_rtl;
|