OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cfs.vhd] - Blame information for rev 64

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 47 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Custom Functions Subsystem (CFS) >>                                              #
3
-- # ********************************************************************************************* #
4 64 zero_gravi
-- # For tightly-coupled custom co-processors. Provides 32x32-bit memory-mapped registers. This is #
5
-- # just an "example/illustration template". Modify this file to implement your own custom design #
6
-- # logic.                                                                                        #
7 47 zero_gravi
-- # ********************************************************************************************* #
8
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
11
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45
 
46
entity neorv32_cfs is
47
  generic (
48 52 zero_gravi
    CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
49 62 zero_gravi
    CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
50
    CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
51 47 zero_gravi
  );
52
  port (
53
    -- host access --
54
    clk_i       : in  std_ulogic; -- global clock line
55
    rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
56
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
57
    rden_i      : in  std_ulogic; -- read enable
58
    wren_i      : in  std_ulogic; -- word write enable
59
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
60
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
61
    ack_o       : out std_ulogic; -- transfer acknowledge
62
    -- clock generator --
63
    clkgen_en_o : out std_ulogic; -- enable clock generator
64
    clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
65
    -- CPU state --
66
    sleep_i     : in  std_ulogic; -- set if cpu is in sleep mode
67
    -- interrupt --
68
    irq_o       : out std_ulogic; -- interrupt request
69
    -- custom io (conduits) --
70 52 zero_gravi
    cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0);  -- custom inputs
71
    cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0)  -- custom outputs
72 47 zero_gravi
  );
73
end neorv32_cfs;
74
 
75
architecture neorv32_cfs_rtl of neorv32_cfs is
76
 
77
  -- IO space: module base address (DO NOT MODIFY!) --
78
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
79
  constant lo_abb_c : natural := index_size_f(cfs_size_c); -- low address boundary bit
80
 
81
  -- access control --
82
  signal acc_en : std_ulogic; -- module access enable
83
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
84
  signal wren   : std_ulogic; -- word write enable
85
  signal rden   : std_ulogic; -- read enable
86
 
87
  -- default CFS interface registers --
88
  type cfs_regs_t is array (0 to 3) of std_ulogic_vector(31 downto 0); -- just implement 4 registers for this example
89
  signal cfs_reg_wr : cfs_regs_t; -- interface registers for WRITE accesses
90
  signal cfs_reg_rd : cfs_regs_t; -- interface registers for READ accesses
91
 
92
begin
93
 
94
  -- Access Control -------------------------------------------------------------------------
95
  -- -------------------------------------------------------------------------------------------
96
  -- These assignments are required to check if the CFS is accessed at all.
97
  -- DO NOT MODIFY this unless you really know what you are doing.
98
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = cfs_base_c(hi_abb_c downto lo_abb_c)) else '0';
99
  addr   <= cfs_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
100
  wren   <= acc_en and wren_i; -- full 32-bit word write enable
101
  rden   <= acc_en and rden_i; -- the read access is always a full 32-bit word wide; if required, the byte/half-word select/masking is done in the CPU
102
 
103 64 zero_gravi
  -- NOTE: Do not modify the CFS base address or the CFS' occupied address space as this might cause access
104
  -- collisions with other modules.
105 47 zero_gravi
 
106 64 zero_gravi
 
107 52 zero_gravi
  -- CFS Generics ---------------------------------------------------------------------------
108 47 zero_gravi
  -- -------------------------------------------------------------------------------------------
109 52 zero_gravi
  -- In its default version, the CFS provides the configuration generics. single generic:
110
  -- CFS_IN_SIZE configures the size (in bits) of the CFS input conduit cfs_in_i
111
  -- CFS_OUT_SIZE configures the size (in bits) of the CFS output conduit cfs_out_o
112
  -- CFS_CONFIG is a blank 32-bit generic. It is intended as a "generic conduit" to propagate custom configuration flags from the top entity down to this entiy.
113 47 zero_gravi
 
114
 
115
  -- CFS IOs --------------------------------------------------------------------------------
116
  -- -------------------------------------------------------------------------------------------
117
  -- By default, the CFS provides two IO signals (cfs_in_i and cfs_out_o) that are available at the processor top entity.
118
  -- These are intended as "conduits" to propagate custom signals this entity <=> processor top entity.
119
 
120
  cfs_out_o <= (others => '0'); -- not used for this minimal example
121
 
122
 
123
  -- Reset System ---------------------------------------------------------------------------
124
  -- -------------------------------------------------------------------------------------------
125
  -- The CFS can be reset using the global rstn_i signal. This signal should be used as asynchronous reset and is active-low.
126
  -- Note that rstn_i can be asserted by an external reset and also by a watchdog-cause reset.
127
  --
128
  -- Most default peripheral devices of the NEORV32 do NOT use a dedicated reset at all. Instead, these units are reset by writing ZERO
129 60 zero_gravi
  -- to a specific "control register" located right at the beginning of the device's address space (so this register is cleared at first).
130 47 zero_gravi
  -- The crt0 start-up code write ZERO to every single address in the processor's IO space - including the CFS.
131
  -- Make sure that this clearing does not cause any unintended actions in the CFS.
132
 
133
 
134
  -- Clock System ---------------------------------------------------------------------------
135
  -- -------------------------------------------------------------------------------------------
136
  -- The processor top unit implements a clock generator providing 8 "derived clocks"
137
  -- Actually, these signals should not be used as direct clock signals, but as *clock enable* signals.
138
  -- clkgen_i is always synchronous to the main system clock (clk_i).
139
  --
140
  -- The following clock divider rates are available:
141
  -- clkgen_i(clk_div2_c)    -> MAIN_CLK/2
142
  -- clkgen_i(clk_div4_c)    -> MAIN_CLK/4
143
  -- clkgen_i(clk_div8_c)    -> MAIN_CLK/8
144
  -- clkgen_i(clk_div64_c)   -> MAIN_CLK/64
145
  -- clkgen_i(clk_div128_c)  -> MAIN_CLK/128
146
  -- clkgen_i(clk_div1024_c) -> MAIN_CLK/1024
147
  -- clkgen_i(clk_div2048_c) -> MAIN_CLK/2048
148
  -- clkgen_i(clk_div4096_c) -> MAIN_CLK/4096
149
  --
150
  -- For instance, if you want to drive a clock process at MAIN_CLK/8 clock speed you can use the following construct:
151
  --
152
  --   if (rstn_i = '0') then -- async and low-active reset (if required at all)
153
  --   ...
154
  --   elsif rising_edge(clk_i) then -- always use the main clock for all clock processes!
155
  --     if (clkgen_i(clk_div8_c) = '1') then -- the div8 "clock" is actually a clock enable
156
  --       ...
157
  --     end if;
158
  --   end if;
159
  --
160 64 zero_gravi
  -- The clkgen_i input clocks are available when at least one IO/peripheral device (for example the SPI) requires the clocks generated by the
161 47 zero_gravi
  -- clock generator. The CFS can enable the clock generator by itself by setting the clkgen_en_o signal high.
162 61 zero_gravi
  -- The CFS cannot ensure to deactivate the clock generator by setting the clkgen_en_o signal low as other peripherals might still keep the generator activated.
163 47 zero_gravi
  -- Make sure to deactivate the CFS's clkgen_en_o if no clocks are required in here to reduce dynamic power consumption.
164
 
165
  clkgen_en_o <= '0'; -- not used for this minimal example
166
 
167
 
168
  -- Further Power Optimization -------------------------------------------------------------
169
  -- -------------------------------------------------------------------------------------------
170
  -- The CFS can decide to go into low-power mode (by disabling all switching activity) when the CPU enters sleep mode.
171
  -- The sleep_i signal is high when the CPU is in sleep mode. Any interrupt including the CFS's irq_o interrupt request signal
172
  -- will wake up the CPU again.
173
 
174 64 zero_gravi
  -- sleep_i
175 47 zero_gravi
 
176 64 zero_gravi
 
177 47 zero_gravi
  -- Interrupt ------------------------------------------------------------------------------
178
  -- -------------------------------------------------------------------------------------------
179
  -- The CFS features a single interrupt signal. This interrupt is connected to the CPU's "fast interrupt" channel 1.
180
  -- The interrupt is single-shot. Setting the irq_o signal high for one cycle will generate an interrupt request.
181 64 zero_gravi
  -- It is recommended to implement some CFS mechanisms (like a register that needs to be written) in order to allow
182
  -- another generation on an interrupt request (simple acknowledgement).
183 47 zero_gravi
 
184
  irq_o <= '0'; -- not used for this minimal example
185
 
186
 
187
  -- Read/Write Access ----------------------------------------------------------------------
188
  -- -------------------------------------------------------------------------------------------
189
  -- Here we are reading/writing from/to the interface registers of the module. Please note that the peripheral/IO
190
  -- modules of the NEORV32 can only be written in full word mode (32-bit). Any other write access (half-word or byte)
191
  -- will trigger a store bus access fault exception.
192
  --
193
  -- The CFS provides up to 32 memory-mapped 32-bit interface register. For instance, these could be used to provide
194
  -- a <control register> for global control of the unit, a <data register> for reading/writing from/to a data FIFO, a <command register>
195 61 zero_gravi
  -- for issuing commands and a <status register> for status information.
196 47 zero_gravi
  --
197 50 zero_gravi
  -- Following the interface protocol, each read or write access has to be acknowledged in the following cycle using the ack_o signal (or even later
198 61 zero_gravi
  -- if the module needs additional time; the maximum latency until an unacknowledged access will trigger a bus exception is defined via the package's
199 64 zero_gravi
  -- global "bus_timeout_c" constant). If no ACK is generated at all, the bus access will time out and cause a bus access fault exception.
200 47 zero_gravi
 
201
  -- Host access: Read and write access to the interface registers + bus transfer acknowledge.
202
  -- This example only implements four physical r/w register (the four lowest CF register). The remaining addresses of the CFS are not
203
  -- associated with any writable or readable register - an access to those is simply ignored but still acknowledged.
204
 
205
  host_access: process(clk_i)
206
  begin
207
    if rising_edge(clk_i) then -- synchronous interface for reads and writes
208
      -- transfer/access acknowledge --
209
      ack_o <= rden or wren; -- default: required for the CPU to check the CFS is answering a bus read OR write request; all r/w accesses (to any cfs_reg) will succeed
210
--    ack_o <= rden; -- use this construct if your CFS is read-only
211
--    ack_o <= wren; -- use this construct if your CFS is write-only
212
--    ack_o <= ... -- or define the ACK by yourself (example: some registers are read-only, some others can only be written, ...)
213
 
214
      -- write access --
215 60 zero_gravi
      if (wren = '1') then -- word-wide write-access only!
216 64 zero_gravi
        if (addr = cfs_reg0_addr_c) then -- make sure to use the internal "addr" signal for the read/write interface
217
          cfs_reg_wr(0) <= data_i; -- for example: control register
218
        end if;
219
        if (addr = cfs_reg1_addr_c) then
220
          cfs_reg_wr(1) <= data_i; -- for example: data in/out fifo
221
        end if;
222
        if (addr = cfs_reg2_addr_c) then
223
          cfs_reg_wr(2) <= data_i; -- for example: command fifo
224
        end if;
225
        if (addr = cfs_reg3_addr_c) then
226
          cfs_reg_wr(3) <= data_i; -- for example: status register
227
        end if;
228 60 zero_gravi
      end if;
229 47 zero_gravi
 
230
      -- read access --
231
      data_o <= (others => '0'); -- the output has to be zero if there is no actual read access
232
      if (rden = '1') then -- the read access is always a full 32-bit word wide; if required, the byte/half-word select/masking is done in the CPU
233
        case addr is -- make sure to use the internal 'addr' signal for the read/write interface
234
          when cfs_reg0_addr_c => data_o <= cfs_reg_rd(0);
235
          when cfs_reg1_addr_c => data_o <= cfs_reg_rd(1);
236
          when cfs_reg2_addr_c => data_o <= cfs_reg_rd(2);
237
          when cfs_reg3_addr_c => data_o <= cfs_reg_rd(3);
238
          when others          => data_o <= (others => '0'); -- the remaining registers are not implemented and will read as zero
239
        end case;
240
      end if;
241
    end if;
242
  end process host_access;
243
 
244
 
245
  -- CFS Function Core ----------------------------------------------------------------------
246
  -- -------------------------------------------------------------------------------------------
247
  -- This is where the actual functionality can be implemented.
248
  -- In this example we are just implementing four r/w registers that invert any value written to them.
249
 
250
  cfs_core: process(cfs_reg_wr)
251
  begin
252
    cfs_reg_rd(0) <= not cfs_reg_wr(0); -- just invert the written value
253
    cfs_reg_rd(1) <= not cfs_reg_wr(1);
254
    cfs_reg_rd(2) <= not cfs_reg_wr(2);
255
    cfs_reg_rd(3) <= not cfs_reg_wr(3);
256
  end process cfs_core;
257
 
258
 
259
end neorv32_cfs_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.