OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 13 zero_gravi
-- # * neorv32_cpu.vhd                  : CPU top entity                                           #
6
-- #   * neorv32_cpu_alu.vhd            : Arithmetic/logic unit                                    #
7
-- #   * neorv32_cpu_bus.vhd            : Instruction and data bus interface unit                  #
8
-- #   * neorv32_cpu_cp_muldiv.vhd      : MULDIV co-processor                                      #
9
-- #   * neorv32_cpu_ctrl.vhd           : CPU control and CSR system                               #
10
-- #     * neorv32_cpu_decompressor.vhd : Compressed instructions decoder                          #
11
-- #   * neorv32_cpu_regfile.vhd        : Data register file                                       #
12 18 zero_gravi
-- #                                                                                               #
13
-- # Check the processor's documentary for more information: docs/NEORV32.pdf                      #
14 2 zero_gravi
-- # ********************************************************************************************* #
15
-- # BSD 3-Clause License                                                                          #
16
-- #                                                                                               #
17
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
18
-- #                                                                                               #
19
-- # Redistribution and use in source and binary forms, with or without modification, are          #
20
-- # permitted provided that the following conditions are met:                                     #
21
-- #                                                                                               #
22
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
23
-- #    conditions and the following disclaimer.                                                   #
24
-- #                                                                                               #
25
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
26
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
27
-- #    provided with the distribution.                                                            #
28
-- #                                                                                               #
29
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
30
-- #    endorse or promote products derived from this software without specific prior written      #
31
-- #    permission.                                                                                #
32
-- #                                                                                               #
33
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
34
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
35
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
36
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
37
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
38
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
39
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
40
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
41
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
42
-- # ********************************************************************************************* #
43
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
44
-- #################################################################################################
45
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.numeric_std.all;
49
 
50
library neorv32;
51
use neorv32.neorv32_package.all;
52
 
53
entity neorv32_cpu is
54
  generic (
55
    -- General --
56 12 zero_gravi
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
57 14 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
58
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
59 2 zero_gravi
    -- RISC-V CPU Extensions --
60 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
61
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
62
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
63 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
64 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
65
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
66 15 zero_gravi
    -- Physical Memory Protection (PMP) --
67
    PMP_USE                      : boolean := false; -- implement PMP?
68 16 zero_gravi
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
69
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
70 14 zero_gravi
    -- Bus Interface --
71
    BUS_TIMEOUT                  : natural := 15     -- cycles after which a valid bus access will timeout
72 2 zero_gravi
  );
73
  port (
74
    -- global control --
75 14 zero_gravi
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
76
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
77 12 zero_gravi
    -- instruction bus interface --
78
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
79 14 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
80 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
81
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
82
    i_bus_we_o     : out std_ulogic; -- write enable
83
    i_bus_re_o     : out std_ulogic; -- read enable
84
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
85 14 zero_gravi
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
86
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
87 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
88
    -- data bus interface --
89
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
90 14 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
91 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
92
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
93
    d_bus_we_o     : out std_ulogic; -- write enable
94
    d_bus_re_o     : out std_ulogic; -- read enable
95
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
96 14 zero_gravi
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
97
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
98 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
99 11 zero_gravi
    -- system time input from MTIME --
100 14 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
101
    -- interrupts (risc-v compliant) --
102
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
103
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
104
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
105
    -- fast interrupts (custom) --
106
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
107 2 zero_gravi
  );
108
end neorv32_cpu;
109
 
110
architecture neorv32_cpu_rtl of neorv32_cpu is
111
 
112
  -- local signals --
113 12 zero_gravi
  signal ctrl       : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
114
  signal alu_cmp    : std_ulogic_vector(1 downto 0); -- alu comparator result
115
  signal imm        : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
116
  signal instr      : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
117
  signal rs1, rs2   : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
118
  signal alu_res    : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
119
  signal alu_add    : std_ulogic_vector(data_width_c-1 downto 0); -- alu adder result
120
  signal rdata      : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
121
  signal alu_wait   : std_ulogic; -- alu is busy due to iterative unit
122
  signal bus_i_wait : std_ulogic; -- wait for current bus instruction fetch
123
  signal bus_d_wait : std_ulogic; -- wait for current bus data access
124
  signal csr_rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
125
  signal mar        : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
126
  signal ma_instr   : std_ulogic; -- misaligned instruction address
127
  signal ma_load    : std_ulogic; -- misaligned load data address
128
  signal ma_store   : std_ulogic; -- misaligned store data address
129
  signal be_instr   : std_ulogic; -- bus error on instruction access
130
  signal be_load    : std_ulogic; -- bus error on load data access
131
  signal be_store   : std_ulogic; -- bus error on store data access
132
  signal fetch_pc   : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
133
  signal curr_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
134
  signal next_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- next pc (for current executed instruction)
135 2 zero_gravi
 
136
  -- co-processor interface --
137
  signal cp0_data,  cp1_data  : std_ulogic_vector(data_width_c-1 downto 0);
138
  signal cp0_valid, cp1_valid : std_ulogic;
139
 
140 15 zero_gravi
  -- pmp interface --
141
  signal pmp_addr  : pmp_addr_if_t;
142
  signal pmp_ctrl  : pmp_ctrl_if_t;
143
  signal priv_mode : std_ulogic_vector(1 downto 0); -- current CPU privilege level
144
 
145 2 zero_gravi
begin
146
 
147 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
148
  -- -------------------------------------------------------------------------------------------
149
  sanity_check: process(clk_i)
150
  begin
151
    if rising_edge(clk_i) then
152
      -- CSR system --
153
      if (CPU_EXTENSION_RISCV_Zicsr = false) then
154 18 zero_gravi
        assert false report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/machine features available when CPU_EXTENSION_RISCV_Zicsr = false." severity warning;
155 15 zero_gravi
      end if;
156
      -- U-extension requires Zicsr extension --
157
      if (CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true) then
158 18 zero_gravi
        assert false report "NEORV32 CPU CONFIG ERROR! User mode requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
159 15 zero_gravi
      end if;
160
      -- PMP requires Zicsr extension --
161
      if (CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_USE = true) then
162 18 zero_gravi
        assert false report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires CPU_EXTENSION_RISCV_Zicsr extension." severity error;
163 15 zero_gravi
      end if;
164 16 zero_gravi
      -- performance counters require Zicsr extension --
165 15 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = false) and (CSR_COUNTERS_USE = true) then
166 18 zero_gravi
        assert false report "NEORV32 CPU CONFIG ERROR! Performance counter CSRs require CPU_EXTENSION_RISCV_Zicsr extension." severity error;
167 15 zero_gravi
      end if;
168
      -- PMP regions --
169
      if (PMP_NUM_REGIONS > pmp_max_r_c) and (PMP_USE = true) then
170 18 zero_gravi
        assert false report "NEORV32 CPU CONFIG ERROR! Number of PMP regions out of valid range." severity error;
171 15 zero_gravi
      end if;
172
      -- PMP granulartiy --
173 16 zero_gravi
      if ((PMP_GRANULARITY < 1) or (PMP_GRANULARITY > 32)) and (PMP_USE = true) then
174 18 zero_gravi
        assert false report "NEORV32 CPU CONFIG ERROR! Invalid PMP granulartiy (0 < G < 33)." severity error;
175 15 zero_gravi
      end if;
176 18 zero_gravi
      -- Bus timeout --
177
      if (BUS_TIMEOUT < 1) then
178
        assert false report "NEORV32 CPU CONFIG ERROR! Invalid bus timeout - must be at least 1 cycle." severity error;
179
      end if;
180 15 zero_gravi
    end if;
181
  end process sanity_check;
182
 
183 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
184
  -- -------------------------------------------------------------------------------------------
185
  neorv32_cpu_control_inst: neorv32_cpu_control
186
  generic map (
187
    -- General --
188 8 zero_gravi
    CSR_COUNTERS_USE             => CSR_COUNTERS_USE, -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
189 12 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,     -- hardware thread id
190
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR,    -- cpu boot address
191 2 zero_gravi
    -- RISC-V CPU Extensions --
192 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
193
    CPU_EXTENSION_RISCV_E        => CPU_EXTENSION_RISCV_E,        -- implement embedded RF extension?
194
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
195
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
196
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
197
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
198
    -- Physical memory protection (PMP) --
199
    PMP_USE                      => PMP_USE,         -- implement physical memory protection?
200
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS, -- number of regions (1..4)
201
    PMP_GRANULARITY              => PMP_GRANULARITY  -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
202 2 zero_gravi
  )
203
  port map (
204
    -- global control --
205
    clk_i         => clk_i,       -- global clock, rising edge
206
    rstn_i        => rstn_i,      -- global reset, low-active, async
207
    ctrl_o        => ctrl,        -- main control bus
208
    -- status input --
209
    alu_wait_i    => alu_wait,    -- wait for ALU
210 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
211
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
212 2 zero_gravi
    -- data input --
213
    instr_i       => instr,       -- instruction
214
    cmp_i         => alu_cmp,     -- comparator status
215
    alu_add_i     => alu_add,     -- ALU.add result
216
    -- data output --
217
    imm_o         => imm,         -- immediate
218 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
219
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
220
    next_pc_o     => next_pc,     -- next PC (corresponding to current instruction)
221 2 zero_gravi
    -- csr interface --
222
    csr_wdata_i   => alu_res,     -- CSR write data
223
    csr_rdata_o   => csr_rdata,   -- CSR read data
224 14 zero_gravi
    -- interrupts (risc-v compliant) --
225
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
226
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
227 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
228 14 zero_gravi
    -- fast interrupts (custom) --
229
    firq_i        => firq_i,
230 11 zero_gravi
    -- system time input from MTIME --
231
    time_i        => time_i,      -- current system time
232 15 zero_gravi
    -- physical memory protection --
233
    pmp_addr_o    => pmp_addr,    -- addresses
234
    pmp_ctrl_o    => pmp_ctrl,    -- configs
235
    priv_mode_o   => priv_mode,   -- current CPU privilege level
236 2 zero_gravi
    -- bus access exceptions --
237
    mar_i         => mar,         -- memory address register
238
    ma_instr_i    => ma_instr,    -- misaligned instruction address
239
    ma_load_i     => ma_load,     -- misaligned load data address
240
    ma_store_i    => ma_store,    -- misaligned store data address
241
    be_instr_i    => be_instr,    -- bus error on instruction access
242
    be_load_i     => be_load,     -- bus error on load data access
243 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
244 2 zero_gravi
  );
245
 
246
 
247
  -- Register File --------------------------------------------------------------------------
248
  -- -------------------------------------------------------------------------------------------
249
  neorv32_regfile_inst: neorv32_cpu_regfile
250
  generic map (
251
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
252
  )
253
  port map (
254
    -- global control --
255
    clk_i  => clk_i,              -- global clock, rising edge
256
    ctrl_i => ctrl,               -- main control bus
257
    -- data input --
258
    mem_i  => rdata,              -- memory read data
259
    alu_i  => alu_res,            -- ALU result
260
    csr_i  => csr_rdata,          -- CSR read data
261 13 zero_gravi
    pc_i   => next_pc,            -- next pc (for linking)
262 2 zero_gravi
    -- data output --
263
    rs1_o  => rs1,                -- operand 1
264
    rs2_o  => rs2                 -- operand 2
265
  );
266
 
267
 
268
  -- ALU ------------------------------------------------------------------------------------
269
  -- -------------------------------------------------------------------------------------------
270
  neorv32_cpu_alu_inst: neorv32_cpu_alu
271 11 zero_gravi
  generic map (
272
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M -- implement muld/div extension?
273
  )
274 2 zero_gravi
  port map (
275
    -- global control --
276
    clk_i       => clk_i,         -- global clock, rising edge
277
    rstn_i      => rstn_i,        -- global reset, low-active, async
278
    ctrl_i      => ctrl,          -- main control bus
279
    -- data input --
280
    rs1_i       => rs1,           -- rf source 1
281
    rs2_i       => rs2,           -- rf source 2
282 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
283 2 zero_gravi
    imm_i       => imm,           -- immediate
284
    csr_i       => csr_rdata,     -- csr read data
285
    -- data output --
286
    cmp_o       => alu_cmp,       -- comparator status
287
    add_o       => alu_add,       -- OPA + OPB
288
    res_o       => alu_res,       -- ALU result
289
    -- co-processor interface --
290
    cp0_data_i  => cp0_data,      -- co-processor 0 result
291
    cp0_valid_i => cp0_valid,     -- co-processor 0 result valid
292
    cp1_data_i  => cp1_data,      -- co-processor 1 result
293
    cp1_valid_i => cp1_valid,     -- co-processor 1 result valid
294
    -- status --
295
    wait_o      => alu_wait       -- busy due to iterative processing units
296
  );
297
 
298
 
299
  -- Co-Processor 0: MULDIV Unit ------------------------------------------------------------
300
  -- -------------------------------------------------------------------------------------------
301
  neorv32_cpu_cp_muldiv_inst_true:
302
  if (CPU_EXTENSION_RISCV_M = true) generate
303
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
304
    port map (
305
      -- global control --
306
      clk_i   => clk_i,           -- global clock, rising edge
307
      rstn_i  => rstn_i,          -- global reset, low-active, async
308
      ctrl_i  => ctrl,            -- main control bus
309
      -- data input --
310
      rs1_i   => rs1,             -- rf source 1
311
      rs2_i   => rs2,             -- rf source 2
312
      -- result and status --
313
      res_o   => cp0_data,        -- operation result
314
      valid_o => cp0_valid        -- data output valid
315
    );
316
  end generate;
317
 
318
  neorv32_cpu_cp_muldiv_inst_false:
319
  if (CPU_EXTENSION_RISCV_M = false) generate
320
    cp0_data  <= (others => '0');
321
    cp0_valid <= '0';
322
  end generate;
323
 
324
 
325
  -- Co-Processor 1: Not Implemented Yet ----------------------------------------------------
326
  -- -------------------------------------------------------------------------------------------
327
  cp1_data  <= (others => '0');
328
  cp1_valid <= '0';
329
 
330
 
331 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
332 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
333
  neorv32_cpu_bus_inst: neorv32_cpu_bus
334
  generic map (
335 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
336 15 zero_gravi
    BUS_TIMEOUT           => BUS_TIMEOUT,           -- cycles after which a valid bus access will timeout
337
    -- Physical memory protection (PMP) --
338
    PMP_USE               => PMP_USE,         -- implement physical memory protection?
339
    PMP_NUM_REGIONS       => PMP_NUM_REGIONS, -- number of regions (1..4)
340
    PMP_GRANULARITY       => PMP_GRANULARITY  -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
341 2 zero_gravi
  )
342
  port map (
343
    -- global control --
344 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
345
    rstn_i         => rstn_i,         -- global reset, low-active, async
346
    ctrl_i         => ctrl,           -- main control bus
347
    -- cpu instruction fetch interface --
348
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
349
    instr_o        => instr,          -- instruction
350
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
351
    --
352
    ma_instr_o     => ma_instr,       -- misaligned instruction address
353
    be_instr_o     => be_instr,       -- bus error on instruction access
354
    -- cpu data access interface --
355
    addr_i         => alu_add,        -- ALU.add result -> access address
356
    wdata_i        => rs2,            -- write data
357
    rdata_o        => rdata,          -- read data
358
    mar_o          => mar,            -- current memory address register
359
    d_wait_o       => bus_d_wait,     -- wait for access to complete
360
    --
361
    ma_load_o      => ma_load,        -- misaligned load data address
362
    ma_store_o     => ma_store,       -- misaligned store data address
363
    be_load_o      => be_load,        -- bus error on load data access
364
    be_store_o     => be_store,       -- bus error on store data access
365 15 zero_gravi
    -- physical memory protection --
366
    pmp_addr_i     => pmp_addr,       -- addresses
367
    pmp_ctrl_i     => pmp_ctrl,       -- configs
368
    priv_mode_i    => priv_mode,      -- current CPU privilege level
369 12 zero_gravi
    -- instruction bus --
370
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
371
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
372
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
373
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
374
    i_bus_we_o     => i_bus_we_o,     -- write enable
375
    i_bus_re_o     => i_bus_re_o,     -- read enable
376
    i_bus_cancel_o => i_bus_cancel_o, -- cancel current bus transaction
377
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
378
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
379
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
380
    -- data bus --
381
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
382
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
383
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
384
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
385
    d_bus_we_o     => d_bus_we_o,     -- write enable
386
    d_bus_re_o     => d_bus_re_o,     -- read enable
387
    d_bus_cancel_o => d_bus_cancel_o, -- cancel current bus transaction
388
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
389
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
390
    d_bus_fence_o  => d_bus_fence_o   -- fence operation
391 2 zero_gravi
  );
392
 
393
 
394
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.