OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu.vhd] - Blame information for rev 60

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Top Entity >>                                                                #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # NEORV32 CPU:                                                                                  #
5 47 zero_gravi
-- # * neorv32_cpu.vhd                   - CPU top entity                                          #
6
-- #   * neorv32_cpu_alu.vhd             - Arithmetic/logic unit                                   #
7
-- #   * neorv32_cpu_bus.vhd             - Instruction and data bus interface unit                 #
8 52 zero_gravi
-- #   * neorv32_cpu_cp_bitmanip.vhd     - Bit-manipulation co-processor ('B')                     #
9 53 zero_gravi
-- #   * neorv32_cpu_cp_fpu.vhd          - Single-precision FPU co-processor ('Zfinx')             #
10 52 zero_gravi
-- #   * neorv32_cpu_cp_muldiv.vhd       - Integer multiplier/divider co-processor ('M')           #
11 47 zero_gravi
-- #   * neorv32_cpu_ctrl.vhd            - CPU control and CSR system                              #
12
-- #     * neorv32_cpu_decompressor.vhd  - Compressed instructions decoder                         #
13
-- #   * neorv32_cpu_regfile.vhd         - Data register file                                      #
14 56 zero_gravi
-- # * neorv32_package.vhd               - Main CPU & Processor package file                       #
15 38 zero_gravi
-- #                                                                                               #
16 29 zero_gravi
-- # Check out the processor's data sheet for more information: docs/NEORV32.pdf                   #
17 2 zero_gravi
-- # ********************************************************************************************* #
18
-- # BSD 3-Clause License                                                                          #
19
-- #                                                                                               #
20 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
21 2 zero_gravi
-- #                                                                                               #
22
-- # Redistribution and use in source and binary forms, with or without modification, are          #
23
-- # permitted provided that the following conditions are met:                                     #
24
-- #                                                                                               #
25
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
26
-- #    conditions and the following disclaimer.                                                   #
27
-- #                                                                                               #
28
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
29
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
30
-- #    provided with the distribution.                                                            #
31
-- #                                                                                               #
32
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
33
-- #    endorse or promote products derived from this software without specific prior written      #
34
-- #    permission.                                                                                #
35
-- #                                                                                               #
36
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
37
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
38
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
39
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
40
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
41
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
42
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
43
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
44
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
45
-- # ********************************************************************************************* #
46
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
47
-- #################################################################################################
48
 
49
library ieee;
50
use ieee.std_logic_1164.all;
51
use ieee.numeric_std.all;
52
 
53
library neorv32;
54
use neorv32.neorv32_package.all;
55
 
56
entity neorv32_cpu is
57
  generic (
58
    -- General --
59 49 zero_gravi
    HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
60
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
61 59 zero_gravi
    CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0) := x"00000000"; -- cpu debug mode start address
62 2 zero_gravi
    -- RISC-V CPU Extensions --
63 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
64 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
65
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
66
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
67 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
68 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
69 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
70 52 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
71 59 zero_gravi
    CPU_EXTENSION_RISCV_DEBUG    : boolean := false; -- implement CPU debug mode?
72 19 zero_gravi
    -- Extension Options --
73
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
74 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
75 56 zero_gravi
    TINY_SHIFT_EN                : boolean := false; -- use tiny (single-bit) shifter for shift operations
76
    CPU_CNT_WIDTH                : natural := 64;    -- total width of CPU cycle and instret counters (0..64)
77 15 zero_gravi
    -- Physical Memory Protection (PMP) --
78 55 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;     -- number of regions (0..64)
79 42 zero_gravi
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
80
    -- Hardware Performance Monitors (HPM) --
81 56 zero_gravi
    HPM_NUM_CNTS                 : natural := 0;     -- number of implemented HPM counters (0..29)
82 60 zero_gravi
    HPM_CNT_WIDTH                : natural := 40     -- total size of HPM counters (0..64)
83 2 zero_gravi
  );
84
  port (
85
    -- global control --
86 14 zero_gravi
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
87
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
88 47 zero_gravi
    sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
89 12 zero_gravi
    -- instruction bus interface --
90
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
91 14 zero_gravi
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
92 12 zero_gravi
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
93
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
94
    i_bus_we_o     : out std_ulogic; -- write enable
95
    i_bus_re_o     : out std_ulogic; -- read enable
96 57 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- exclusive access request
97 14 zero_gravi
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
98
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
99 12 zero_gravi
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
100 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
101 12 zero_gravi
    -- data bus interface --
102
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
103 14 zero_gravi
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
104 12 zero_gravi
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
105
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
106
    d_bus_we_o     : out std_ulogic; -- write enable
107
    d_bus_re_o     : out std_ulogic; -- read enable
108 57 zero_gravi
    d_bus_lock_o   : out std_ulogic; -- exclusive access request
109 14 zero_gravi
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
110
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
111 12 zero_gravi
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
112 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
113 11 zero_gravi
    -- system time input from MTIME --
114 14 zero_gravi
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
115 58 zero_gravi
    -- non-maskable interrupt --
116
    nm_irq_i       : in  std_ulogic := '0'; -- NMI
117 14 zero_gravi
    -- interrupts (risc-v compliant) --
118
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
119
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
120
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
121
    -- fast interrupts (custom) --
122 48 zero_gravi
    firq_i         : in  std_ulogic_vector(15 downto 0) := (others => '0');
123 59 zero_gravi
    firq_ack_o     : out std_ulogic_vector(15 downto 0);
124
    -- debug mode (halt) request --
125
    db_halt_req_i  : in  std_ulogic := '0'
126 2 zero_gravi
  );
127
end neorv32_cpu;
128
 
129
architecture neorv32_cpu_rtl of neorv32_cpu is
130
 
131
  -- local signals --
132 60 zero_gravi
  signal ctrl       : std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
133
  signal comparator : std_ulogic_vector(1 downto 0); -- comparator result
134
  signal imm        : std_ulogic_vector(data_width_c-1 downto 0); -- immediate
135
  signal instr      : std_ulogic_vector(data_width_c-1 downto 0); -- new instruction
136
  signal rs1, rs2   : std_ulogic_vector(data_width_c-1 downto 0); -- source registers
137
  signal alu_res    : std_ulogic_vector(data_width_c-1 downto 0); -- alu result
138
  signal alu_add    : std_ulogic_vector(data_width_c-1 downto 0); -- alu address result
139
  signal mem_rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
140
  signal alu_wait   : std_ulogic; -- alu is busy due to iterative unit
141
  signal bus_i_wait : std_ulogic; -- wait for current bus instruction fetch
142
  signal bus_d_wait : std_ulogic; -- wait for current bus data access
143
  signal csr_rdata  : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
144
  signal mar        : std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
145
  signal ma_instr   : std_ulogic; -- misaligned instruction address
146
  signal ma_load    : std_ulogic; -- misaligned load data address
147
  signal ma_store   : std_ulogic; -- misaligned store data address
148
  signal excl_state : std_ulogic; -- atomic/exclusive access lock status
149
  signal be_instr   : std_ulogic; -- bus error on instruction access
150
  signal be_load    : std_ulogic; -- bus error on load data access
151
  signal be_store   : std_ulogic; -- bus error on store data access
152
  signal fetch_pc   : std_ulogic_vector(data_width_c-1 downto 0); -- pc for instruction fetch
153
  signal curr_pc    : std_ulogic_vector(data_width_c-1 downto 0); -- current pc (for current executed instruction)
154
  signal fpu_rm     : std_ulogic_vector(2 downto 0); -- FPU rounding mode
155
  signal fpu_flags  : std_ulogic_vector(4 downto 0); -- FPU exception flags
156 2 zero_gravi
 
157
  -- co-processor interface --
158 49 zero_gravi
  signal cp_start  : std_ulogic_vector(7 downto 0); -- trigger co-processor i
159
  signal cp_valid  : std_ulogic_vector(7 downto 0); -- co-processor i done
160
  signal cp_result : cp_data_if_t; -- co-processor result
161 2 zero_gravi
 
162 15 zero_gravi
  -- pmp interface --
163
  signal pmp_addr  : pmp_addr_if_t;
164
  signal pmp_ctrl  : pmp_ctrl_if_t;
165
 
166 2 zero_gravi
begin
167
 
168 15 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
169
  -- -------------------------------------------------------------------------------------------
170 56 zero_gravi
  -- hardware reset notifier --
171
  assert not ((dedicated_reset_c = false) and (def_rst_val_c = '-')) report "NEORV32 CPU CONFIG NOTE: Using NO dedicated hardware reset for uncritical registers (default, might reduce area footprint). Set the package constant <dedicated_reset_c> to TRUE if you need a defined reset value." severity note;
172
  assert not ((dedicated_reset_c = true)  and (def_rst_val_c = '0')) report "NEORV32 CPU CONFIG NOTE: Using defined hardware reset for uncritical registers (non-default, reset-to-zero, might increase area footprint)." severity note;
173
  assert not ((def_rst_val_c /= '-') and (def_rst_val_c /= '0')) report "NEORV32 CPU CONFIG ERROR! Invalid configuration of package <def_rst_val_c> constant (has to be '-' or '0')." severity error;
174
 
175 23 zero_gravi
  -- CSR system --
176 56 zero_gravi
  assert not (CPU_EXTENSION_RISCV_Zicsr = false) report "NEORV32 CPU CONFIG WARNING! No exception/interrupt/trap/privileged features available when <CPU_EXTENSION_RISCV_Zicsr> = false." severity warning;
177
 
178
  -- CPU counters (cycle and instret) --
179
  assert not ((CPU_CNT_WIDTH < 0) or (CPU_CNT_WIDTH > 64)) report "NEORV32 CPU CONFIG ERROR! Invalid <CPU_CNT_WIDTH> configuration. Has to be 0..64." severity error;
180
  assert not (CPU_CNT_WIDTH < 64) report "NEORV32 CPU CONFIG WARNING! Implementing CPU <cycle> and <instret> CSRs with reduced size (" & integer'image(CPU_CNT_WIDTH) & "-bit instead of 64-bit). This is not RISC-V compliant and might have unintended SW side effects." severity warning;
181
 
182 23 zero_gravi
  -- U-extension requires Zicsr extension --
183 56 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (CPU_EXTENSION_RISCV_U = true)) report "NEORV32 CPU CONFIG ERROR! User mode requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
184 40 zero_gravi
 
185 38 zero_gravi
  -- Instruction prefetch buffer size --
186
  assert not (is_power_of_two_f(ipb_entries_c) = false) report "NEORV32 CPU CONFIG ERROR! Number of entries in instruction prefetch buffer <ipb_entries_c> has to be a power of two." severity error;
187 15 zero_gravi
 
188 55 zero_gravi
  -- Co-processor timeout counter (for debugging only) --
189
  assert not (cp_timeout_en_c = true) report "NEORV32 CPU CONFIG WARNING! Co-processor timeout counter enabled. This should be used for debugging/simulation only." severity warning;
190 52 zero_gravi
 
191 40 zero_gravi
  -- PMP regions check --
192 53 zero_gravi
  assert not (PMP_NUM_REGIONS > 64) report "NEORV32 CPU CONFIG ERROR! Number of PMP regions <PMP_NUM_REGIONS> out xf valid range (0..64)." severity error;
193 59 zero_gravi
  -- PMP granularity --
194 56 zero_gravi
  assert not ((is_power_of_two_f(PMP_MIN_GRANULARITY) = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! <PMP_MIN_GRANULARITY> has to be a power of two." severity error;
195
  assert not ((PMP_MIN_GRANULARITY < 8) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! <PMP_MIN_GRANULARITY> has to be >= 8 bytes." severity error;
196 40 zero_gravi
  -- PMP notifier --
197 42 zero_gravi
  assert not (PMP_NUM_REGIONS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing physical memory protection (PMP) with " & integer'image(PMP_NUM_REGIONS) & " regions and a minimal granularity of " & integer'image(PMP_MIN_GRANULARITY) & " bytes." severity note;
198 56 zero_gravi
  -- PMP requires Zicsr extension --
199
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (PMP_NUM_REGIONS > 0)) report "NEORV32 CPU CONFIG ERROR! Physical memory protection (PMP) requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
200 40 zero_gravi
 
201 42 zero_gravi
  -- HPM counters check --
202
  assert not (HPM_NUM_CNTS > 29) report "NEORV32 CPU CONFIG ERROR! Number of HPM counters <HPM_NUM_CNTS> out of valid range (0..29)." severity error;
203 60 zero_gravi
  assert not ((HPM_CNT_WIDTH < 0) or (HPM_CNT_WIDTH > 64)) report "NEORV32 CPU CONFIG ERROR! HPM counter width <HPM_CNT_WIDTH> has to be 0..64 bit." severity error;
204 42 zero_gravi
  -- HPM counters notifier --
205 56 zero_gravi
  assert not (HPM_NUM_CNTS > 0) report "NEORV32 CPU CONFIG NOTE: Implementing " & integer'image(HPM_NUM_CNTS) & " HPM counters (each " & integer'image(HPM_CNT_WIDTH) & "-bit wide)." severity note;
206 44 zero_gravi
  -- HPM CNT requires Zicsr extension --
207 56 zero_gravi
  assert not ((CPU_EXTENSION_RISCV_Zicsr = false) and (HPM_NUM_CNTS > 0)) report "NEORV32 CPU CONFIG ERROR! Hardware performance monitors (HPM) require <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
208 41 zero_gravi
 
209 59 zero_gravi
  -- Debug mode --
210
  assert not (CPU_EXTENSION_RISCV_DEBUG = true) report "NEORV32 CPU CONFIG NOTE: Implementing RISC-V DEBUG MODE extension." severity note;
211
  assert not ((CPU_EXTENSION_RISCV_DEBUG = true) and (CPU_EXTENSION_RISCV_Zicsr = false)) report "NEORV32 CPU CONFIG ERROR! Debug mode requires <CPU_EXTENSION_RISCV_Zicsr> extension to be enabled." severity error;
212 42 zero_gravi
 
213 59 zero_gravi
 
214 2 zero_gravi
  -- Control Unit ---------------------------------------------------------------------------
215
  -- -------------------------------------------------------------------------------------------
216
  neorv32_cpu_control_inst: neorv32_cpu_control
217
  generic map (
218
    -- General --
219 59 zero_gravi
    HW_THREAD_ID                 => HW_THREAD_ID,                 -- hardware thread id
220
    CPU_BOOT_ADDR                => CPU_BOOT_ADDR,                -- cpu boot address
221
    CPU_DEBUG_ADDR               => CPU_DEBUG_ADDR,               -- cpu debug mode start address
222 2 zero_gravi
    -- RISC-V CPU Extensions --
223 39 zero_gravi
    CPU_EXTENSION_RISCV_A        => CPU_EXTENSION_RISCV_A,        -- implement atomic extension?
224 15 zero_gravi
    CPU_EXTENSION_RISCV_C        => CPU_EXTENSION_RISCV_C,        -- implement compressed extension?
225
    CPU_EXTENSION_RISCV_M        => CPU_EXTENSION_RISCV_M,        -- implement muld/div extension?
226
    CPU_EXTENSION_RISCV_U        => CPU_EXTENSION_RISCV_U,        -- implement user mode extension?
227 53 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    => CPU_EXTENSION_RISCV_Zfinx,    -- implement 32-bit floating-point extension (using INT reg!)
228 15 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    => CPU_EXTENSION_RISCV_Zicsr,    -- implement CSR system?
229
    CPU_EXTENSION_RISCV_Zifencei => CPU_EXTENSION_RISCV_Zifencei, -- implement instruction stream sync.?
230 59 zero_gravi
    CPU_EXTENSION_RISCV_DEBUG    => CPU_EXTENSION_RISCV_DEBUG,    -- implement CPU debug mode?
231 56 zero_gravi
    -- Extension Options --
232
    CPU_CNT_WIDTH                => CPU_CNT_WIDTH,                -- total width of CPU cycle and instret counters (0..64)
233 15 zero_gravi
    -- Physical memory protection (PMP) --
234 42 zero_gravi
    PMP_NUM_REGIONS              => PMP_NUM_REGIONS,              -- number of regions (0..64)
235
    PMP_MIN_GRANULARITY          => PMP_MIN_GRANULARITY,          -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
236
    -- Hardware Performance Monitors (HPM) --
237 56 zero_gravi
    HPM_NUM_CNTS                 => HPM_NUM_CNTS,                 -- number of implemented HPM counters (0..29)
238
    HPM_CNT_WIDTH                => HPM_CNT_WIDTH                 -- total size of HPM counters
239 2 zero_gravi
  )
240
  port map (
241
    -- global control --
242
    clk_i         => clk_i,       -- global clock, rising edge
243
    rstn_i        => rstn_i,      -- global reset, low-active, async
244
    ctrl_o        => ctrl,        -- main control bus
245
    -- status input --
246
    alu_wait_i    => alu_wait,    -- wait for ALU
247 12 zero_gravi
    bus_i_wait_i  => bus_i_wait,  -- wait for bus
248
    bus_d_wait_i  => bus_d_wait,  -- wait for bus
249 57 zero_gravi
    excl_state_i  => excl_state,  -- atomic/exclusive access lock status
250 2 zero_gravi
    -- data input --
251
    instr_i       => instr,       -- instruction
252 47 zero_gravi
    cmp_i         => comparator,  -- comparator status
253 36 zero_gravi
    alu_add_i     => alu_add,     -- ALU address result
254
    rs1_i         => rs1,         -- rf source 1
255 2 zero_gravi
    -- data output --
256
    imm_o         => imm,         -- immediate
257 6 zero_gravi
    fetch_pc_o    => fetch_pc,    -- PC for instruction fetch
258
    curr_pc_o     => curr_pc,     -- current PC (corresponding to current instruction)
259 2 zero_gravi
    csr_rdata_o   => csr_rdata,   -- CSR read data
260 52 zero_gravi
    -- FPU interface --
261
    fpu_rm_o      => fpu_rm,      -- rounding mode
262
    fpu_flags_i   => fpu_flags,   -- exception flags
263 59 zero_gravi
    -- debug mode (halt) request --
264
    db_halt_req_i => db_halt_req_i,
265 14 zero_gravi
    -- interrupts (risc-v compliant) --
266
    msw_irq_i     => msw_irq_i,   -- machine software interrupt
267
    mext_irq_i    => mext_irq_i,  -- machine external interrupt
268 2 zero_gravi
    mtime_irq_i   => mtime_irq_i, -- machine timer interrupt
269 58 zero_gravi
    -- non-maskable interrupt --
270
    nm_irq_i      => nm_irq_i,    -- nmi
271 14 zero_gravi
    -- fast interrupts (custom) --
272 47 zero_gravi
    firq_i        => firq_i,      -- fast interrupt trigger
273
    firq_ack_o    => firq_ack_o,  -- fast interrupt acknowledge mask
274 11 zero_gravi
    -- system time input from MTIME --
275
    time_i        => time_i,      -- current system time
276 15 zero_gravi
    -- physical memory protection --
277
    pmp_addr_o    => pmp_addr,    -- addresses
278
    pmp_ctrl_o    => pmp_ctrl,    -- configs
279 2 zero_gravi
    -- bus access exceptions --
280
    mar_i         => mar,         -- memory address register
281
    ma_instr_i    => ma_instr,    -- misaligned instruction address
282
    ma_load_i     => ma_load,     -- misaligned load data address
283
    ma_store_i    => ma_store,    -- misaligned store data address
284
    be_instr_i    => be_instr,    -- bus error on instruction access
285
    be_load_i     => be_load,     -- bus error on load data access
286 12 zero_gravi
    be_store_i    => be_store     -- bus error on store data access
287 2 zero_gravi
  );
288
 
289 47 zero_gravi
  -- CPU is sleeping? --
290
  sleep_o <= ctrl(ctrl_sleep_c); -- set when CPU is sleeping (after WFI)
291 2 zero_gravi
 
292 47 zero_gravi
 
293 2 zero_gravi
  -- Register File --------------------------------------------------------------------------
294
  -- -------------------------------------------------------------------------------------------
295 45 zero_gravi
  neorv32_cpu_regfile_inst: neorv32_cpu_regfile
296 2 zero_gravi
  generic map (
297
    CPU_EXTENSION_RISCV_E => CPU_EXTENSION_RISCV_E -- implement embedded RF extension?
298
  )
299
  port map (
300
    -- global control --
301
    clk_i  => clk_i,              -- global clock, rising edge
302
    ctrl_i => ctrl,               -- main control bus
303
    -- data input --
304 52 zero_gravi
    mem_i  => mem_rdata,          -- memory read data
305 2 zero_gravi
    alu_i  => alu_res,            -- ALU result
306
    -- data output --
307
    rs1_o  => rs1,                -- operand 1
308 47 zero_gravi
    rs2_o  => rs2,                -- operand 2
309
    cmp_o  => comparator          -- comparator status
310 2 zero_gravi
  );
311
 
312
 
313
  -- ALU ------------------------------------------------------------------------------------
314
  -- -------------------------------------------------------------------------------------------
315
  neorv32_cpu_alu_inst: neorv32_cpu_alu
316 11 zero_gravi
  generic map (
317 34 zero_gravi
    CPU_EXTENSION_RISCV_M => CPU_EXTENSION_RISCV_M, -- implement muld/div extension?
318 56 zero_gravi
    FAST_SHIFT_EN         => FAST_SHIFT_EN,         -- use barrel shifter for shift operations
319
    TINY_SHIFT_EN         => TINY_SHIFT_EN          -- use tiny (single-bit) shifter for shift operations
320 11 zero_gravi
  )
321 2 zero_gravi
  port map (
322
    -- global control --
323
    clk_i       => clk_i,         -- global clock, rising edge
324
    rstn_i      => rstn_i,        -- global reset, low-active, async
325
    ctrl_i      => ctrl,          -- main control bus
326
    -- data input --
327
    rs1_i       => rs1,           -- rf source 1
328
    rs2_i       => rs2,           -- rf source 2
329 6 zero_gravi
    pc2_i       => curr_pc,       -- delayed PC
330 2 zero_gravi
    imm_i       => imm,           -- immediate
331
    -- data output --
332
    res_o       => alu_res,       -- ALU result
333 36 zero_gravi
    add_o       => alu_add,       -- address computation result
334 2 zero_gravi
    -- co-processor interface --
335 49 zero_gravi
    cp_start_o  => cp_start,      -- trigger co-processor i
336
    cp_valid_i  => cp_valid,      -- co-processor i done
337
    cp_result_i => cp_result,     -- co-processor result
338 2 zero_gravi
    -- status --
339
    wait_o      => alu_wait       -- busy due to iterative processing units
340
  );
341
 
342
 
343 57 zero_gravi
  -- Co-Processor 0: CSR (Read) Access ('Zicsr' Extension) ----------------------------------
344 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
345 57 zero_gravi
  -- "pseudo" co-processor for CSR *read* access operations
346
  -- required to get CSR read data into the data path
347
  cp_result(0) <= csr_rdata when (CPU_EXTENSION_RISCV_Zicsr = true) else (others => '0');
348
  cp_valid(0)  <= cp_start(0); -- always assigned even if Zicsr extension is disabled to make sure CPU does not get stalled if there is an accidental access
349
 
350
 
351
  -- Co-Processor 1: Integer Multiplication/Division ('M' Extension) ------------------------
352
  -- -------------------------------------------------------------------------------------------
353 2 zero_gravi
  neorv32_cpu_cp_muldiv_inst_true:
354
  if (CPU_EXTENSION_RISCV_M = true) generate
355
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
356 19 zero_gravi
    generic map (
357 38 zero_gravi
      FAST_MUL_EN => FAST_MUL_EN  -- use DSPs for faster multiplication
358 19 zero_gravi
    )
359 2 zero_gravi
    port map (
360
      -- global control --
361
      clk_i   => clk_i,           -- global clock, rising edge
362
      rstn_i  => rstn_i,          -- global reset, low-active, async
363
      ctrl_i  => ctrl,            -- main control bus
364 57 zero_gravi
      start_i => cp_start(1),     -- trigger operation
365 2 zero_gravi
      -- data input --
366 27 zero_gravi
      rs1_i   => rs1,             -- rf source 1
367
      rs2_i   => rs2,             -- rf source 2
368 2 zero_gravi
      -- result and status --
369 57 zero_gravi
      res_o   => cp_result(1),    -- operation result
370
      valid_o => cp_valid(1)      -- data output valid
371 2 zero_gravi
    );
372
  end generate;
373
 
374
  neorv32_cpu_cp_muldiv_inst_false:
375
  if (CPU_EXTENSION_RISCV_M = false) generate
376 57 zero_gravi
    cp_result(1) <= (others => '0');
377
    cp_valid(1)  <= cp_start(1); -- to make sure CPU does not get stalled if there is an accidental access
378 2 zero_gravi
  end generate;
379
 
380
 
381 60 zero_gravi
  -- Co-Processor 2: reseverd ---------------------------------------------------------------
382 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
383 60 zero_gravi
  cp_result(2) <= (others => '0');
384
  cp_valid(2)  <= cp_start(2); -- to make sure CPU does not get stalled if there is an accidental access
385 36 zero_gravi
 
386
 
387 57 zero_gravi
  -- Co-Processor 3: Single-Precision Floating-Point Unit ('Zfinx' Extension) ---------------
388 36 zero_gravi
  -- -------------------------------------------------------------------------------------------
389 52 zero_gravi
  neorv32_cpu_cp_fpu_inst_true:
390 53 zero_gravi
  if (CPU_EXTENSION_RISCV_Zfinx = true) generate
391 52 zero_gravi
    neorv32_cpu_cp_fpu_inst: neorv32_cpu_cp_fpu
392
    port map (
393
      -- global control --
394 53 zero_gravi
      clk_i    => clk_i,        -- global clock, rising edge
395
      rstn_i   => rstn_i,       -- global reset, low-active, async
396
      ctrl_i   => ctrl,         -- main control bus
397 57 zero_gravi
      start_i  => cp_start(3),  -- trigger operation
398 52 zero_gravi
      -- data input --
399 53 zero_gravi
      frm_i    => fpu_rm,       -- rounding mode
400 56 zero_gravi
      cmp_i    => comparator,   -- comparator status
401 53 zero_gravi
      rs1_i    => rs1,          -- rf source 1
402
      rs2_i    => rs2,          -- rf source 2
403 52 zero_gravi
      -- result and status --
404 57 zero_gravi
      res_o    => cp_result(3), -- operation result
405 53 zero_gravi
      fflags_o => fpu_flags,    -- exception flags
406 57 zero_gravi
      valid_o  => cp_valid(3)   -- data output valid
407 52 zero_gravi
    );
408
  end generate;
409
 
410
  neorv32_cpu_cp_fpu_inst_false:
411 53 zero_gravi
  if (CPU_EXTENSION_RISCV_Zfinx = false) generate
412 57 zero_gravi
    cp_result(3) <= (others => '0');
413 53 zero_gravi
    fpu_flags    <= (others => '0');
414 57 zero_gravi
    cp_valid(3)  <= cp_start(3); -- to make sure CPU does not get stalled if there is an accidental access
415 52 zero_gravi
  end generate;
416
 
417
 
418 57 zero_gravi
  -- Co-Processor 4,5,6,7: Not Implemented --------------------------------------------------
419 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
420 57 zero_gravi
  cp_result(4) <= (others => '0');
421
  cp_valid(4)  <= '0';
422
  --
423 49 zero_gravi
  cp_result(5) <= (others => '0');
424
  cp_valid(5)  <= '0';
425
  --
426
  cp_result(6) <= (others => '0');
427
  cp_valid(6)  <= '0';
428
  --
429
  cp_result(7) <= (others => '0');
430
  cp_valid(7)  <= '0';
431
 
432
 
433 12 zero_gravi
  -- Bus Interface Unit ---------------------------------------------------------------------
434 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
435
  neorv32_cpu_bus_inst: neorv32_cpu_bus
436
  generic map (
437 53 zero_gravi
    CPU_EXTENSION_RISCV_A => CPU_EXTENSION_RISCV_A, -- implement atomic extension?
438 11 zero_gravi
    CPU_EXTENSION_RISCV_C => CPU_EXTENSION_RISCV_C, -- implement compressed extension?
439 15 zero_gravi
    -- Physical memory protection (PMP) --
440 42 zero_gravi
    PMP_NUM_REGIONS       => PMP_NUM_REGIONS,       -- number of regions (0..64)
441 57 zero_gravi
    PMP_MIN_GRANULARITY   => PMP_MIN_GRANULARITY    -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
442 2 zero_gravi
  )
443
  port map (
444
    -- global control --
445 12 zero_gravi
    clk_i          => clk_i,          -- global clock, rising edge
446 38 zero_gravi
    rstn_i         => rstn_i,         -- global reset, low-active, async
447 12 zero_gravi
    ctrl_i         => ctrl,           -- main control bus
448
    -- cpu instruction fetch interface --
449
    fetch_pc_i     => fetch_pc,       -- PC for instruction fetch
450
    instr_o        => instr,          -- instruction
451
    i_wait_o       => bus_i_wait,     -- wait for fetch to complete
452
    --
453
    ma_instr_o     => ma_instr,       -- misaligned instruction address
454
    be_instr_o     => be_instr,       -- bus error on instruction access
455
    -- cpu data access interface --
456 39 zero_gravi
    addr_i         => alu_add,        -- ALU.add result -> access address
457 53 zero_gravi
    wdata_i        => rs2,            -- write data
458 52 zero_gravi
    rdata_o        => mem_rdata,      -- read data
459 12 zero_gravi
    mar_o          => mar,            -- current memory address register
460
    d_wait_o       => bus_d_wait,     -- wait for access to complete
461
    --
462 57 zero_gravi
    excl_state_o   => excl_state,     -- atomic/exclusive access status
463 12 zero_gravi
    ma_load_o      => ma_load,        -- misaligned load data address
464
    ma_store_o     => ma_store,       -- misaligned store data address
465
    be_load_o      => be_load,        -- bus error on load data access
466
    be_store_o     => be_store,       -- bus error on store data access
467 15 zero_gravi
    -- physical memory protection --
468
    pmp_addr_i     => pmp_addr,       -- addresses
469
    pmp_ctrl_i     => pmp_ctrl,       -- configs
470 12 zero_gravi
    -- instruction bus --
471
    i_bus_addr_o   => i_bus_addr_o,   -- bus access address
472
    i_bus_rdata_i  => i_bus_rdata_i,  -- bus read data
473
    i_bus_wdata_o  => i_bus_wdata_o,  -- bus write data
474
    i_bus_ben_o    => i_bus_ben_o,    -- byte enable
475
    i_bus_we_o     => i_bus_we_o,     -- write enable
476
    i_bus_re_o     => i_bus_re_o,     -- read enable
477 57 zero_gravi
    i_bus_lock_o   => i_bus_lock_o,   -- exclusive access request
478 12 zero_gravi
    i_bus_ack_i    => i_bus_ack_i,    -- bus transfer acknowledge
479
    i_bus_err_i    => i_bus_err_i,    -- bus transfer error
480
    i_bus_fence_o  => i_bus_fence_o,  -- fence operation
481
    -- data bus --
482
    d_bus_addr_o   => d_bus_addr_o,   -- bus access address
483
    d_bus_rdata_i  => d_bus_rdata_i,  -- bus read data
484
    d_bus_wdata_o  => d_bus_wdata_o,  -- bus write data
485
    d_bus_ben_o    => d_bus_ben_o,    -- byte enable
486
    d_bus_we_o     => d_bus_we_o,     -- write enable
487
    d_bus_re_o     => d_bus_re_o,     -- read enable
488 57 zero_gravi
    d_bus_lock_o   => d_bus_lock_o,   -- exclusive access request
489 12 zero_gravi
    d_bus_ack_i    => d_bus_ack_i,    -- bus transfer acknowledge
490
    d_bus_err_i    => d_bus_err_i,    -- bus transfer error
491 57 zero_gravi
    d_bus_fence_o  => d_bus_fence_o   -- fence operation
492 2 zero_gravi
  );
493
 
494 35 zero_gravi
  -- current privilege level --
495 36 zero_gravi
  i_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
496
  d_bus_priv_o <= ctrl(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c);
497 2 zero_gravi
 
498 35 zero_gravi
 
499 2 zero_gravi
end neorv32_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.