OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_alu.vhd] - Blame information for rev 71

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Arithmetical/Logical Unit >>                                                     #
3
-- # ********************************************************************************************* #
4 47 zero_gravi
-- # Main data and address ALU and co-processor interface/arbiter.                                 #
5 2 zero_gravi
-- # ********************************************************************************************* #
6
-- # BSD 3-Clause License                                                                          #
7
-- #                                                                                               #
8 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
9 2 zero_gravi
-- #                                                                                               #
10
-- # Redistribution and use in source and binary forms, with or without modification, are          #
11
-- # permitted provided that the following conditions are met:                                     #
12
-- #                                                                                               #
13
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
14
-- #    conditions and the following disclaimer.                                                   #
15
-- #                                                                                               #
16
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
17
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
18
-- #    provided with the distribution.                                                            #
19
-- #                                                                                               #
20
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
21
-- #    endorse or promote products derived from this software without specific prior written      #
22
-- #    permission.                                                                                #
23
-- #                                                                                               #
24
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
25
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
26
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
27
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
28
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
29
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
30
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
31
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
32
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
33
-- # ********************************************************************************************* #
34
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
35
-- #################################################################################################
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.numeric_std.all;
40
 
41
library neorv32;
42
use neorv32.neorv32_package.all;
43
 
44
entity neorv32_cpu_alu is
45 11 zero_gravi
  generic (
46 61 zero_gravi
    -- RISC-V CPU Extensions --
47 66 zero_gravi
    CPU_EXTENSION_RISCV_B     : boolean; -- implement bit-manipulation extension?
48 62 zero_gravi
    CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
49
    CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
50
    CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
51 61 zero_gravi
    -- Extension Options --
52 62 zero_gravi
    FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
53
    FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
54 11 zero_gravi
  );
55 2 zero_gravi
  port (
56
    -- global control --
57
    clk_i       : in  std_ulogic; -- global clock, rising edge
58
    rstn_i      : in  std_ulogic; -- global reset, low-active, async
59
    ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
60
    -- data input --
61
    rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
62
    rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
63 68 zero_gravi
    pc_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- current PC
64
    pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- next PC
65 2 zero_gravi
    imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
66 61 zero_gravi
    csr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
67 2 zero_gravi
    -- data output --
68 65 zero_gravi
    cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
69 2 zero_gravi
    res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
70 36 zero_gravi
    add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
71 61 zero_gravi
    fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
72 2 zero_gravi
    -- status --
73 61 zero_gravi
    idone_o     : out std_ulogic -- iterative processing units done?
74 2 zero_gravi
  );
75
end neorv32_cpu_alu;
76
 
77
architecture neorv32_cpu_cpu_rtl of neorv32_cpu_alu is
78
 
79 65 zero_gravi
  -- comparator --
80
  signal cmp_opx : std_ulogic_vector(data_width_c downto 0);
81
  signal cmp_opy : std_ulogic_vector(data_width_c downto 0);
82
  signal cmp     : std_ulogic_vector(1 downto 0); -- comparator status
83
 
84 2 zero_gravi
  -- operands --
85 29 zero_gravi
  signal opa, opb : std_ulogic_vector(data_width_c-1 downto 0);
86 2 zero_gravi
 
87
  -- results --
88 36 zero_gravi
  signal addsub_res : std_ulogic_vector(data_width_c downto 0);
89 68 zero_gravi
  signal alu_res    : std_ulogic_vector(data_width_c-1 downto 0);
90 29 zero_gravi
  signal cp_res     : std_ulogic_vector(data_width_c-1 downto 0);
91 2 zero_gravi
 
92 19 zero_gravi
  -- co-processor arbiter and interface --
93
  type cp_ctrl_t is record
94 71 zero_gravi
    cmd    : std_ulogic;
95
    cmd_ff : std_ulogic;
96
    start  : std_ulogic;
97 19 zero_gravi
  end record;
98
  signal cp_ctrl : cp_ctrl_t;
99 2 zero_gravi
 
100 61 zero_gravi
  -- co-processor interface --
101 71 zero_gravi
  type cp_data_if_t  is array (0 to 7)  of std_ulogic_vector(data_width_c-1 downto 0);
102 61 zero_gravi
  signal cp_result : cp_data_if_t; -- co-processor result
103 71 zero_gravi
  signal cp_start  : std_ulogic_vector(7 downto 0); -- trigger co-processor i
104
  signal cp_valid  : std_ulogic_vector(7 downto 0); -- co-processor i done
105 61 zero_gravi
 
106 2 zero_gravi
begin
107
 
108 65 zero_gravi
  -- Comparator Unit (for conditional branches) ---------------------------------------------
109 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
110 65 zero_gravi
  cmp_opx <= (rs1_i(rs1_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs1_i;
111
  cmp_opy <= (rs2_i(rs2_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs2_i;
112
 
113
  cmp(cmp_equal_c) <= '1' when (rs1_i = rs2_i) else '0';
114
  cmp(cmp_less_c)  <= '1' when (signed(cmp_opx) < signed(cmp_opy)) else '0';
115
  cmp_o            <= cmp;
116
 
117
 
118
  -- ALU Input Operand Mux ------------------------------------------------------------------
119
  -- -------------------------------------------------------------------------------------------
120 68 zero_gravi
  opa <= pc_i  when (ctrl_i(ctrl_alu_opa_mux_c) = '1') else rs1_i; -- operand a (first ALU input operand), only required for arithmetic ops
121 29 zero_gravi
  opb <= imm_i when (ctrl_i(ctrl_alu_opb_mux_c) = '1') else rs2_i; -- operand b (second ALU input operand)
122 2 zero_gravi
 
123
 
124 61 zero_gravi
  -- Binary Adder/Subtracter ----------------------------------------------------------------
125 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
126 29 zero_gravi
  binary_arithmetic_core: process(ctrl_i, opa, opb)
127
    variable cin_v  : std_ulogic_vector(0 downto 0);
128
    variable op_a_v : std_ulogic_vector(data_width_c downto 0);
129
    variable op_b_v : std_ulogic_vector(data_width_c downto 0);
130
    variable op_y_v : std_ulogic_vector(data_width_c downto 0);
131
    variable res_v  : std_ulogic_vector(data_width_c downto 0);
132
  begin
133
    -- operand sign-extension --
134
    op_a_v := (opa(opa'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opa;
135
    op_b_v := (opb(opb'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opb;
136
    -- add/sub(slt) select --
137 68 zero_gravi
    if (ctrl_i(ctrl_alu_op0_c) = '1') then -- subtraction
138 29 zero_gravi
      op_y_v   := not op_b_v;
139
      cin_v(0) := '1';
140 36 zero_gravi
    else -- addition
141 29 zero_gravi
      op_y_v   := op_b_v;
142
      cin_v(0) := '0';
143
    end if;
144 68 zero_gravi
    -- adder core --
145 36 zero_gravi
    addsub_res <= std_ulogic_vector(unsigned(op_a_v) + unsigned(op_y_v) + unsigned(cin_v(0 downto 0)));
146 29 zero_gravi
  end process binary_arithmetic_core;
147
 
148 68 zero_gravi
  -- direct output of adder result --
149 36 zero_gravi
  add_o <= addsub_res(data_width_c-1 downto 0);
150 29 zero_gravi
 
151 68 zero_gravi
 
152
  -- ALU Operation Select -------------------------------------------------------------------
153
  -- -------------------------------------------------------------------------------------------
154
  alu_core: process(ctrl_i, addsub_res, rs1_i, opb)
155 39 zero_gravi
  begin
156 68 zero_gravi
    case ctrl_i(ctrl_alu_op2_c downto ctrl_alu_op0_c) is
157
      when alu_op_add_c  => alu_res <= addsub_res(data_width_c-1 downto 0); -- (default)
158
      when alu_op_sub_c  => alu_res <= addsub_res(data_width_c-1 downto 0);
159
--    when alu_op_mova_c => alu_res <= rs1_i; -- FIXME
160
      when alu_op_slt_c  => alu_res <= (others => '0'); alu_res(0) <= addsub_res(addsub_res'left); -- => carry/borrow
161
      when alu_op_movb_c => alu_res <= opb;
162
      when alu_op_xor_c  => alu_res <= rs1_i xor opb; -- only rs1 required for logic ops (opa would also contain pc)
163
      when alu_op_or_c   => alu_res <= rs1_i or  opb;
164
      when alu_op_and_c  => alu_res <= rs1_i and opb;
165
      when others        => alu_res <= addsub_res(data_width_c-1 downto 0);
166
    end case;
167
  end process alu_core;
168 36 zero_gravi
 
169 68 zero_gravi
  -- ALU Function Select --------------------------------------------------------------------
170
  -- -------------------------------------------------------------------------------------------
171
  alu_function_mux: process(ctrl_i, alu_res, pc2_i, csr_i, cp_res)
172
  begin
173
    case ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) is
174
      when alu_func_core_c  => res_o <= alu_res; -- (default)
175
      when alu_func_nxpc_c  => res_o <= pc2_i;
176
      when alu_func_csrr_c  => res_o <= csr_i;
177
      when alu_func_copro_c => res_o <= cp_res;
178
      when others           => res_o <= alu_res; -- undefined
179
    end case;
180
  end process alu_function_mux;
181 39 zero_gravi
 
182 68 zero_gravi
 
183
  -- **************************************************************************************************************************
184 71 zero_gravi
  -- CPU Co-Processors
185 68 zero_gravi
  -- **************************************************************************************************************************
186
 
187 71 zero_gravi
  -- Co-Processor Interface --
188
  -- Co-processor "valid" signal has to be asserted (for one cycle) one cycle before asserting output data
189
  -- Co-processor "output data" has to be always zero unless co-processor was explicitly triggered
190
 
191 47 zero_gravi
  -- Co-Processor Arbiter -------------------------------------------------------------------
192 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
193 19 zero_gravi
  cp_arbiter: process(rstn_i, clk_i)
194 2 zero_gravi
  begin
195
    if (rstn_i = '0') then
196 71 zero_gravi
      cp_ctrl.cmd_ff <= '0';
197 2 zero_gravi
    elsif rising_edge(clk_i) then
198 40 zero_gravi
      cp_ctrl.cmd_ff <= cp_ctrl.cmd;
199 2 zero_gravi
    end if;
200 19 zero_gravi
  end process cp_arbiter;
201 2 zero_gravi
 
202
  -- is co-processor operation? --
203 68 zero_gravi
  cp_ctrl.cmd   <= '1' when (ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) = alu_func_copro_c) else '0';
204 29 zero_gravi
  cp_ctrl.start <= '1' when (cp_ctrl.cmd = '1') and (cp_ctrl.cmd_ff = '0') else '0';
205 2 zero_gravi
 
206 71 zero_gravi
  -- co-processor select / start trigger --
207
  cp_start(0) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "000") else '0';
208
  cp_start(1) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "001") else '0';
209
  cp_start(2) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "010") else '0';
210
  cp_start(3) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "011") else '0';
211
  cp_start(4) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "100") else '0';
212
  cp_start(5) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "101") else '0';
213
  cp_start(6) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "110") else '0';
214
  cp_start(7) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "111") else '0';
215 2 zero_gravi
 
216 61 zero_gravi
  -- co-processor operation done? --
217
  idone_o <= or_reduce_f(cp_valid);
218 39 zero_gravi
 
219 49 zero_gravi
  -- co-processor result - only the *actually selected* co-processor may output data != 0 --
220 71 zero_gravi
  cp_res <= cp_result(0) or cp_result(1) or cp_result(2) or cp_result(3) or cp_result(4) or cp_result(5) or cp_result(6) or cp_result(7);
221 24 zero_gravi
 
222
 
223 61 zero_gravi
  -- Co-Processor 0: Shifter (CPU Core ISA) --------------------------------------------------
224 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
225 65 zero_gravi
  neorv32_cpu_cp_shifter_inst: neorv32_cpu_cp_shifter
226
  generic map (
227
    FAST_SHIFT_EN => FAST_SHIFT_EN -- use barrel shifter for shift operations
228
  )
229
  port map (
230
    -- global control --
231 70 zero_gravi
    clk_i   => clk_i,        -- global clock, rising edge
232
    rstn_i  => rstn_i,       -- global reset, low-active, async
233
    ctrl_i  => ctrl_i,       -- main control bus
234
    start_i => cp_start(0),  -- trigger operation
235 65 zero_gravi
    -- data input --
236 70 zero_gravi
    rs1_i   => rs1_i,        -- rf source 1
237 66 zero_gravi
    shamt_i => opb(index_size_f(data_width_c)-1 downto 0), -- shift amount
238 65 zero_gravi
    -- result and status --
239 70 zero_gravi
    res_o   => cp_result(0), -- operation result
240
    valid_o => cp_valid(0)   -- data output valid
241 65 zero_gravi
  );
242 2 zero_gravi
 
243
 
244 61 zero_gravi
  -- Co-Processor 1: Integer Multiplication/Division ('M' Extension) ------------------------
245
  -- -------------------------------------------------------------------------------------------
246
  neorv32_cpu_cp_muldiv_inst_true:
247
  if (CPU_EXTENSION_RISCV_M = true) or (CPU_EXTENSION_RISCV_Zmmul = true) generate
248
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
249
    generic map (
250
      FAST_MUL_EN => FAST_MUL_EN,          -- use DSPs for faster multiplication
251
      DIVISION_EN => CPU_EXTENSION_RISCV_M -- implement divider hardware
252
    )
253
    port map (
254
      -- global control --
255 70 zero_gravi
      clk_i   => clk_i,        -- global clock, rising edge
256
      rstn_i  => rstn_i,       -- global reset, low-active, async
257
      ctrl_i  => ctrl_i,       -- main control bus
258
      start_i => cp_start(1),  -- trigger operation
259 61 zero_gravi
      -- data input --
260 70 zero_gravi
      rs1_i   => rs1_i,        -- rf source 1
261
      rs2_i   => rs2_i,        -- rf source 2
262 61 zero_gravi
      -- result and status --
263 70 zero_gravi
      res_o   => cp_result(1), -- operation result
264
      valid_o => cp_valid(1)   -- data output valid
265 61 zero_gravi
    );
266
  end generate;
267
 
268
  neorv32_cpu_cp_muldiv_inst_false:
269
  if (CPU_EXTENSION_RISCV_M = false) and (CPU_EXTENSION_RISCV_Zmmul = false) generate
270
    cp_result(1) <= (others => '0');
271 71 zero_gravi
    cp_valid(1)  <= '0';
272 61 zero_gravi
  end generate;
273
 
274
 
275 66 zero_gravi
  -- Co-Processor 2: Bit-Manipulation Unit ('B' Extension) ----------------------------------
276 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
277 63 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_true:
278 66 zero_gravi
  if (CPU_EXTENSION_RISCV_B = true) generate
279 63 zero_gravi
    neorv32_cpu_cp_bitmanip_inst: neorv32_cpu_cp_bitmanip
280
    generic map (
281
      FAST_SHIFT_EN => FAST_SHIFT_EN -- use barrel shifter for shift operations
282
    )
283
    port map (
284
      -- global control --
285 66 zero_gravi
      clk_i   => clk_i,        -- global clock, rising edge
286
      rstn_i  => rstn_i,       -- global reset, low-active, async
287
      ctrl_i  => ctrl_i,       -- main control bus
288
      start_i => cp_start(2),  -- trigger operation
289 63 zero_gravi
      -- data input --
290 66 zero_gravi
      cmp_i   => cmp,          -- comparator status
291
      rs1_i   => rs1_i,        -- rf source 1
292
      rs2_i   => rs2_i,        -- rf source 2
293
      shamt_i => opb(index_size_f(data_width_c)-1 downto 0), -- shift amount
294 63 zero_gravi
      -- result and status --
295 66 zero_gravi
      res_o   => cp_result(2), -- operation result
296
      valid_o => cp_valid(2)   -- data output valid
297 63 zero_gravi
    );
298
  end generate;
299 61 zero_gravi
 
300 63 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_false:
301 66 zero_gravi
  if (CPU_EXTENSION_RISCV_B = false) generate
302 63 zero_gravi
    cp_result(2) <= (others => '0');
303 71 zero_gravi
    cp_valid(2)  <= '0';
304 63 zero_gravi
  end generate;
305 61 zero_gravi
 
306 63 zero_gravi
 
307 61 zero_gravi
  -- Co-Processor 3: Single-Precision Floating-Point Unit ('Zfinx' Extension) ---------------
308
  -- -------------------------------------------------------------------------------------------
309
  neorv32_cpu_cp_fpu_inst_true:
310
  if (CPU_EXTENSION_RISCV_Zfinx = true) generate
311
    neorv32_cpu_cp_fpu_inst: neorv32_cpu_cp_fpu
312
    port map (
313
      -- global control --
314 66 zero_gravi
      clk_i    => clk_i,        -- global clock, rising edge  
315 61 zero_gravi
      rstn_i   => rstn_i,       -- global reset, low-active, async
316
      ctrl_i   => ctrl_i,       -- main control bus
317
      start_i  => cp_start(3),  -- trigger operation
318
      -- data input --
319 65 zero_gravi
      cmp_i    => cmp,          -- comparator status
320 61 zero_gravi
      rs1_i    => rs1_i,        -- rf source 1
321
      rs2_i    => rs2_i,        -- rf source 2
322
      -- result and status --
323
      res_o    => cp_result(3), -- operation result
324
      fflags_o => fpu_flags_o,  -- exception flags
325
      valid_o  => cp_valid(3)   -- data output valid
326
    );
327
  end generate;
328
 
329
  neorv32_cpu_cp_fpu_inst_false:
330
  if (CPU_EXTENSION_RISCV_Zfinx = false) generate
331
    cp_result(3) <= (others => '0');
332
    fpu_flags_o  <= (others => '0');
333 71 zero_gravi
    cp_valid(3)  <= '0';
334 61 zero_gravi
  end generate;
335
 
336
 
337 71 zero_gravi
  -- Co-Processor 4: Reserved ---------------------------------------------------------------
338
  -- -------------------------------------------------------------------------------------------
339
  cp_result(4) <= (others => '0');
340
  cp_valid(4)  <= '0';
341
 
342
 
343
  -- Co-Processor 5: Reserved ---------------------------------------------------------------
344
  -- -------------------------------------------------------------------------------------------
345
  cp_result(5) <= (others => '0');
346
  cp_valid(5)  <= '0';
347
 
348
 
349
  -- Co-Processor 6: Reserved ---------------------------------------------------------------
350
  -- -------------------------------------------------------------------------------------------
351
  cp_result(6) <= (others => '0');
352
  cp_valid(6)  <= '0';
353
 
354
 
355
  -- Co-Processor 7: Reserved ---------------------------------------------------------------
356
  -- -------------------------------------------------------------------------------------------
357
  cp_result(7) <= (others => '0');
358
  cp_valid(7)  <= '0';
359
 
360
 
361 2 zero_gravi
end neorv32_cpu_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.