OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_alu.vhd] - Blame information for rev 72

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Arithmetical/Logical Unit >>                                                     #
3
-- # ********************************************************************************************* #
4 72 zero_gravi
-- # Main data/address ALU and ALU co-processor (= multi-cycle function units).                    #
5 2 zero_gravi
-- # ********************************************************************************************* #
6
-- # BSD 3-Clause License                                                                          #
7
-- #                                                                                               #
8 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
9 2 zero_gravi
-- #                                                                                               #
10
-- # Redistribution and use in source and binary forms, with or without modification, are          #
11
-- # permitted provided that the following conditions are met:                                     #
12
-- #                                                                                               #
13
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
14
-- #    conditions and the following disclaimer.                                                   #
15
-- #                                                                                               #
16
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
17
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
18
-- #    provided with the distribution.                                                            #
19
-- #                                                                                               #
20
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
21
-- #    endorse or promote products derived from this software without specific prior written      #
22
-- #    permission.                                                                                #
23
-- #                                                                                               #
24
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
25
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
26
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
27
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
28
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
29
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
30
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
31
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
32
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
33
-- # ********************************************************************************************* #
34
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
35
-- #################################################################################################
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.numeric_std.all;
40
 
41
library neorv32;
42
use neorv32.neorv32_package.all;
43
 
44
entity neorv32_cpu_alu is
45 11 zero_gravi
  generic (
46 61 zero_gravi
    -- RISC-V CPU Extensions --
47 66 zero_gravi
    CPU_EXTENSION_RISCV_B     : boolean; -- implement bit-manipulation extension?
48 62 zero_gravi
    CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
49
    CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
50
    CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
51 72 zero_gravi
    CPU_EXTENSION_RISCV_Zxcfu : boolean; -- implement custom (instr.) functions unit?
52 61 zero_gravi
    -- Extension Options --
53 62 zero_gravi
    FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
54
    FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
55 11 zero_gravi
  );
56 2 zero_gravi
  port (
57
    -- global control --
58
    clk_i       : in  std_ulogic; -- global clock, rising edge
59
    rstn_i      : in  std_ulogic; -- global reset, low-active, async
60
    ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
61
    -- data input --
62
    rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
63
    rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
64 68 zero_gravi
    pc_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- current PC
65
    pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- next PC
66 2 zero_gravi
    imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
67 61 zero_gravi
    csr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
68 2 zero_gravi
    -- data output --
69 65 zero_gravi
    cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
70 2 zero_gravi
    res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
71 36 zero_gravi
    add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
72 61 zero_gravi
    fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
73 2 zero_gravi
    -- status --
74 61 zero_gravi
    idone_o     : out std_ulogic -- iterative processing units done?
75 2 zero_gravi
  );
76
end neorv32_cpu_alu;
77
 
78
architecture neorv32_cpu_cpu_rtl of neorv32_cpu_alu is
79
 
80 65 zero_gravi
  -- comparator --
81
  signal cmp_opx : std_ulogic_vector(data_width_c downto 0);
82
  signal cmp_opy : std_ulogic_vector(data_width_c downto 0);
83
  signal cmp     : std_ulogic_vector(1 downto 0); -- comparator status
84
 
85 2 zero_gravi
  -- operands --
86 29 zero_gravi
  signal opa, opb : std_ulogic_vector(data_width_c-1 downto 0);
87 2 zero_gravi
 
88
  -- results --
89 36 zero_gravi
  signal addsub_res : std_ulogic_vector(data_width_c downto 0);
90 68 zero_gravi
  signal alu_res    : std_ulogic_vector(data_width_c-1 downto 0);
91 29 zero_gravi
  signal cp_res     : std_ulogic_vector(data_width_c-1 downto 0);
92 2 zero_gravi
 
93 19 zero_gravi
  -- co-processor arbiter and interface --
94
  type cp_ctrl_t is record
95 71 zero_gravi
    cmd    : std_ulogic;
96
    cmd_ff : std_ulogic;
97
    start  : std_ulogic;
98 19 zero_gravi
  end record;
99
  signal cp_ctrl : cp_ctrl_t;
100 2 zero_gravi
 
101 61 zero_gravi
  -- co-processor interface --
102 71 zero_gravi
  type cp_data_if_t  is array (0 to 7)  of std_ulogic_vector(data_width_c-1 downto 0);
103 61 zero_gravi
  signal cp_result : cp_data_if_t; -- co-processor result
104 71 zero_gravi
  signal cp_start  : std_ulogic_vector(7 downto 0); -- trigger co-processor i
105
  signal cp_valid  : std_ulogic_vector(7 downto 0); -- co-processor i done
106 61 zero_gravi
 
107 2 zero_gravi
begin
108
 
109 65 zero_gravi
  -- Comparator Unit (for conditional branches) ---------------------------------------------
110 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
111 65 zero_gravi
  cmp_opx <= (rs1_i(rs1_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs1_i;
112
  cmp_opy <= (rs2_i(rs2_i'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & rs2_i;
113
 
114
  cmp(cmp_equal_c) <= '1' when (rs1_i = rs2_i) else '0';
115
  cmp(cmp_less_c)  <= '1' when (signed(cmp_opx) < signed(cmp_opy)) else '0';
116
  cmp_o            <= cmp;
117
 
118
 
119
  -- ALU Input Operand Mux ------------------------------------------------------------------
120
  -- -------------------------------------------------------------------------------------------
121 68 zero_gravi
  opa <= pc_i  when (ctrl_i(ctrl_alu_opa_mux_c) = '1') else rs1_i; -- operand a (first ALU input operand), only required for arithmetic ops
122 29 zero_gravi
  opb <= imm_i when (ctrl_i(ctrl_alu_opb_mux_c) = '1') else rs2_i; -- operand b (second ALU input operand)
123 2 zero_gravi
 
124
 
125 61 zero_gravi
  -- Binary Adder/Subtracter ----------------------------------------------------------------
126 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
127 29 zero_gravi
  binary_arithmetic_core: process(ctrl_i, opa, opb)
128
    variable cin_v  : std_ulogic_vector(0 downto 0);
129
    variable op_a_v : std_ulogic_vector(data_width_c downto 0);
130
    variable op_b_v : std_ulogic_vector(data_width_c downto 0);
131
    variable op_y_v : std_ulogic_vector(data_width_c downto 0);
132
    variable res_v  : std_ulogic_vector(data_width_c downto 0);
133
  begin
134
    -- operand sign-extension --
135
    op_a_v := (opa(opa'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opa;
136
    op_b_v := (opb(opb'left) and (not ctrl_i(ctrl_alu_unsigned_c))) & opb;
137
    -- add/sub(slt) select --
138 68 zero_gravi
    if (ctrl_i(ctrl_alu_op0_c) = '1') then -- subtraction
139 29 zero_gravi
      op_y_v   := not op_b_v;
140
      cin_v(0) := '1';
141 36 zero_gravi
    else -- addition
142 29 zero_gravi
      op_y_v   := op_b_v;
143
      cin_v(0) := '0';
144
    end if;
145 68 zero_gravi
    -- adder core --
146 36 zero_gravi
    addsub_res <= std_ulogic_vector(unsigned(op_a_v) + unsigned(op_y_v) + unsigned(cin_v(0 downto 0)));
147 29 zero_gravi
  end process binary_arithmetic_core;
148
 
149 68 zero_gravi
  -- direct output of adder result --
150 36 zero_gravi
  add_o <= addsub_res(data_width_c-1 downto 0);
151 29 zero_gravi
 
152 68 zero_gravi
 
153
  -- ALU Operation Select -------------------------------------------------------------------
154
  -- -------------------------------------------------------------------------------------------
155
  alu_core: process(ctrl_i, addsub_res, rs1_i, opb)
156 39 zero_gravi
  begin
157 68 zero_gravi
    case ctrl_i(ctrl_alu_op2_c downto ctrl_alu_op0_c) is
158
      when alu_op_add_c  => alu_res <= addsub_res(data_width_c-1 downto 0); -- (default)
159
      when alu_op_sub_c  => alu_res <= addsub_res(data_width_c-1 downto 0);
160
--    when alu_op_mova_c => alu_res <= rs1_i; -- FIXME
161
      when alu_op_slt_c  => alu_res <= (others => '0'); alu_res(0) <= addsub_res(addsub_res'left); -- => carry/borrow
162
      when alu_op_movb_c => alu_res <= opb;
163
      when alu_op_xor_c  => alu_res <= rs1_i xor opb; -- only rs1 required for logic ops (opa would also contain pc)
164
      when alu_op_or_c   => alu_res <= rs1_i or  opb;
165
      when alu_op_and_c  => alu_res <= rs1_i and opb;
166
      when others        => alu_res <= addsub_res(data_width_c-1 downto 0);
167
    end case;
168
  end process alu_core;
169 36 zero_gravi
 
170 68 zero_gravi
  -- ALU Function Select --------------------------------------------------------------------
171
  -- -------------------------------------------------------------------------------------------
172
  alu_function_mux: process(ctrl_i, alu_res, pc2_i, csr_i, cp_res)
173
  begin
174
    case ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) is
175
      when alu_func_core_c  => res_o <= alu_res; -- (default)
176
      when alu_func_nxpc_c  => res_o <= pc2_i;
177
      when alu_func_csrr_c  => res_o <= csr_i;
178
      when alu_func_copro_c => res_o <= cp_res;
179
      when others           => res_o <= alu_res; -- undefined
180
    end case;
181
  end process alu_function_mux;
182 39 zero_gravi
 
183 68 zero_gravi
 
184
  -- **************************************************************************************************************************
185 71 zero_gravi
  -- CPU Co-Processors
186 68 zero_gravi
  -- **************************************************************************************************************************
187
 
188 71 zero_gravi
  -- Co-Processor Interface --
189
  -- Co-processor "valid" signal has to be asserted (for one cycle) one cycle before asserting output data
190
  -- Co-processor "output data" has to be always zero unless co-processor was explicitly triggered
191
 
192 47 zero_gravi
  -- Co-Processor Arbiter -------------------------------------------------------------------
193 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
194 19 zero_gravi
  cp_arbiter: process(rstn_i, clk_i)
195 2 zero_gravi
  begin
196
    if (rstn_i = '0') then
197 71 zero_gravi
      cp_ctrl.cmd_ff <= '0';
198 2 zero_gravi
    elsif rising_edge(clk_i) then
199 40 zero_gravi
      cp_ctrl.cmd_ff <= cp_ctrl.cmd;
200 2 zero_gravi
    end if;
201 19 zero_gravi
  end process cp_arbiter;
202 2 zero_gravi
 
203
  -- is co-processor operation? --
204 68 zero_gravi
  cp_ctrl.cmd   <= '1' when (ctrl_i(ctrl_alu_func1_c downto ctrl_alu_func0_c) = alu_func_copro_c) else '0';
205 29 zero_gravi
  cp_ctrl.start <= '1' when (cp_ctrl.cmd = '1') and (cp_ctrl.cmd_ff = '0') else '0';
206 2 zero_gravi
 
207 71 zero_gravi
  -- co-processor select / start trigger --
208
  cp_start(0) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "000") else '0';
209
  cp_start(1) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "001") else '0';
210
  cp_start(2) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "010") else '0';
211
  cp_start(3) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "011") else '0';
212
  cp_start(4) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "100") else '0';
213
  cp_start(5) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "101") else '0';
214
  cp_start(6) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "110") else '0';
215
  cp_start(7) <= '1' when (cp_ctrl.start = '1') and (ctrl_i(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) = "111") else '0';
216 2 zero_gravi
 
217 61 zero_gravi
  -- co-processor operation done? --
218
  idone_o <= or_reduce_f(cp_valid);
219 39 zero_gravi
 
220 49 zero_gravi
  -- co-processor result - only the *actually selected* co-processor may output data != 0 --
221 71 zero_gravi
  cp_res <= cp_result(0) or cp_result(1) or cp_result(2) or cp_result(3) or cp_result(4) or cp_result(5) or cp_result(6) or cp_result(7);
222 24 zero_gravi
 
223
 
224 61 zero_gravi
  -- Co-Processor 0: Shifter (CPU Core ISA) --------------------------------------------------
225 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
226 65 zero_gravi
  neorv32_cpu_cp_shifter_inst: neorv32_cpu_cp_shifter
227
  generic map (
228
    FAST_SHIFT_EN => FAST_SHIFT_EN -- use barrel shifter for shift operations
229
  )
230
  port map (
231
    -- global control --
232 70 zero_gravi
    clk_i   => clk_i,        -- global clock, rising edge
233
    rstn_i  => rstn_i,       -- global reset, low-active, async
234
    ctrl_i  => ctrl_i,       -- main control bus
235
    start_i => cp_start(0),  -- trigger operation
236 65 zero_gravi
    -- data input --
237 70 zero_gravi
    rs1_i   => rs1_i,        -- rf source 1
238 66 zero_gravi
    shamt_i => opb(index_size_f(data_width_c)-1 downto 0), -- shift amount
239 65 zero_gravi
    -- result and status --
240 70 zero_gravi
    res_o   => cp_result(0), -- operation result
241
    valid_o => cp_valid(0)   -- data output valid
242 65 zero_gravi
  );
243 2 zero_gravi
 
244
 
245 61 zero_gravi
  -- Co-Processor 1: Integer Multiplication/Division ('M' Extension) ------------------------
246
  -- -------------------------------------------------------------------------------------------
247
  neorv32_cpu_cp_muldiv_inst_true:
248
  if (CPU_EXTENSION_RISCV_M = true) or (CPU_EXTENSION_RISCV_Zmmul = true) generate
249
    neorv32_cpu_cp_muldiv_inst: neorv32_cpu_cp_muldiv
250
    generic map (
251
      FAST_MUL_EN => FAST_MUL_EN,          -- use DSPs for faster multiplication
252
      DIVISION_EN => CPU_EXTENSION_RISCV_M -- implement divider hardware
253
    )
254
    port map (
255
      -- global control --
256 70 zero_gravi
      clk_i   => clk_i,        -- global clock, rising edge
257
      rstn_i  => rstn_i,       -- global reset, low-active, async
258
      ctrl_i  => ctrl_i,       -- main control bus
259
      start_i => cp_start(1),  -- trigger operation
260 61 zero_gravi
      -- data input --
261 70 zero_gravi
      rs1_i   => rs1_i,        -- rf source 1
262
      rs2_i   => rs2_i,        -- rf source 2
263 61 zero_gravi
      -- result and status --
264 70 zero_gravi
      res_o   => cp_result(1), -- operation result
265
      valid_o => cp_valid(1)   -- data output valid
266 61 zero_gravi
    );
267
  end generate;
268
 
269
  neorv32_cpu_cp_muldiv_inst_false:
270
  if (CPU_EXTENSION_RISCV_M = false) and (CPU_EXTENSION_RISCV_Zmmul = false) generate
271
    cp_result(1) <= (others => '0');
272 71 zero_gravi
    cp_valid(1)  <= '0';
273 61 zero_gravi
  end generate;
274
 
275
 
276 66 zero_gravi
  -- Co-Processor 2: Bit-Manipulation Unit ('B' Extension) ----------------------------------
277 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
278 63 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_true:
279 66 zero_gravi
  if (CPU_EXTENSION_RISCV_B = true) generate
280 63 zero_gravi
    neorv32_cpu_cp_bitmanip_inst: neorv32_cpu_cp_bitmanip
281
    generic map (
282
      FAST_SHIFT_EN => FAST_SHIFT_EN -- use barrel shifter for shift operations
283
    )
284
    port map (
285
      -- global control --
286 66 zero_gravi
      clk_i   => clk_i,        -- global clock, rising edge
287
      rstn_i  => rstn_i,       -- global reset, low-active, async
288
      ctrl_i  => ctrl_i,       -- main control bus
289
      start_i => cp_start(2),  -- trigger operation
290 63 zero_gravi
      -- data input --
291 66 zero_gravi
      cmp_i   => cmp,          -- comparator status
292
      rs1_i   => rs1_i,        -- rf source 1
293
      rs2_i   => rs2_i,        -- rf source 2
294
      shamt_i => opb(index_size_f(data_width_c)-1 downto 0), -- shift amount
295 63 zero_gravi
      -- result and status --
296 66 zero_gravi
      res_o   => cp_result(2), -- operation result
297
      valid_o => cp_valid(2)   -- data output valid
298 63 zero_gravi
    );
299
  end generate;
300 61 zero_gravi
 
301 63 zero_gravi
  neorv32_cpu_cp_bitmanip_inst_false:
302 66 zero_gravi
  if (CPU_EXTENSION_RISCV_B = false) generate
303 63 zero_gravi
    cp_result(2) <= (others => '0');
304 71 zero_gravi
    cp_valid(2)  <= '0';
305 63 zero_gravi
  end generate;
306 61 zero_gravi
 
307 63 zero_gravi
 
308 61 zero_gravi
  -- Co-Processor 3: Single-Precision Floating-Point Unit ('Zfinx' Extension) ---------------
309
  -- -------------------------------------------------------------------------------------------
310
  neorv32_cpu_cp_fpu_inst_true:
311
  if (CPU_EXTENSION_RISCV_Zfinx = true) generate
312
    neorv32_cpu_cp_fpu_inst: neorv32_cpu_cp_fpu
313
    port map (
314
      -- global control --
315 66 zero_gravi
      clk_i    => clk_i,        -- global clock, rising edge  
316 61 zero_gravi
      rstn_i   => rstn_i,       -- global reset, low-active, async
317
      ctrl_i   => ctrl_i,       -- main control bus
318
      start_i  => cp_start(3),  -- trigger operation
319
      -- data input --
320 65 zero_gravi
      cmp_i    => cmp,          -- comparator status
321 61 zero_gravi
      rs1_i    => rs1_i,        -- rf source 1
322
      rs2_i    => rs2_i,        -- rf source 2
323
      -- result and status --
324
      res_o    => cp_result(3), -- operation result
325
      fflags_o => fpu_flags_o,  -- exception flags
326
      valid_o  => cp_valid(3)   -- data output valid
327
    );
328
  end generate;
329
 
330
  neorv32_cpu_cp_fpu_inst_false:
331
  if (CPU_EXTENSION_RISCV_Zfinx = false) generate
332
    cp_result(3) <= (others => '0');
333
    fpu_flags_o  <= (others => '0');
334 71 zero_gravi
    cp_valid(3)  <= '0';
335 61 zero_gravi
  end generate;
336
 
337
 
338 72 zero_gravi
  -- Co-Processor 4: Custom (Instructions) Functions Unit ('Zxcfu' Extension) ---------------
339 71 zero_gravi
  -- -------------------------------------------------------------------------------------------
340 72 zero_gravi
  neorv32_cpu_cp_cfu_inst_true:
341
  if (CPU_EXTENSION_RISCV_Zxcfu = true) generate
342
    neorv32_cpu_cp_cfu_inst: neorv32_cpu_cp_cfu
343
    port map (
344
      -- global control --
345
      clk_i   => clk_i,        -- global clock, rising edge
346
      rstn_i  => rstn_i,       -- global reset, low-active, async
347
      ctrl_i  => ctrl_i,       -- main control bus
348
      start_i => cp_start(4),  -- trigger operation
349
      -- data input --
350
      rs1_i   => rs1_i,        -- rf source 1
351
      rs2_i   => rs2_i,        -- rf source 2
352
      -- result and status --
353
      res_o   => cp_result(4), -- operation result
354
      valid_o => cp_valid(4)   -- data output valid
355
    );
356
  end generate;
357 71 zero_gravi
 
358 72 zero_gravi
  neorv32_cpu_cp_cfu_inst_false:
359
  if (CPU_EXTENSION_RISCV_Zxcfu = false) generate
360
    cp_result(4) <= (others => '0');
361
    cp_valid(4)  <= '0';
362
  end generate;
363 71 zero_gravi
 
364 72 zero_gravi
 
365 71 zero_gravi
  -- Co-Processor 5: Reserved ---------------------------------------------------------------
366
  -- -------------------------------------------------------------------------------------------
367
  cp_result(5) <= (others => '0');
368
  cp_valid(5)  <= '0';
369
 
370
 
371
  -- Co-Processor 6: Reserved ---------------------------------------------------------------
372
  -- -------------------------------------------------------------------------------------------
373
  cp_result(6) <= (others => '0');
374
  cp_valid(6)  <= '0';
375
 
376
 
377
  -- Co-Processor 7: Reserved ---------------------------------------------------------------
378
  -- -------------------------------------------------------------------------------------------
379
  cp_result(7) <= (others => '0');
380
  cp_valid(7)  <= '0';
381
 
382
 
383 2 zero_gravi
end neorv32_cpu_cpu_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.