OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_bus.vhd] - Blame information for rev 57

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Bus Interface Unit >>                                                            #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # Instruction and data bus interfaces and physical memory protection (PMP).                     #
5 2 zero_gravi
-- # ********************************************************************************************* #
6
-- # BSD 3-Clause License                                                                          #
7
-- #                                                                                               #
8 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
9 2 zero_gravi
-- #                                                                                               #
10
-- # Redistribution and use in source and binary forms, with or without modification, are          #
11
-- # permitted provided that the following conditions are met:                                     #
12
-- #                                                                                               #
13
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
14
-- #    conditions and the following disclaimer.                                                   #
15
-- #                                                                                               #
16
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
17
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
18
-- #    provided with the distribution.                                                            #
19
-- #                                                                                               #
20
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
21
-- #    endorse or promote products derived from this software without specific prior written      #
22
-- #    permission.                                                                                #
23
-- #                                                                                               #
24
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
25
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
26
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
27
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
28
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
29
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
30
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
31
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
32
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
33
-- # ********************************************************************************************* #
34
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
35
-- #################################################################################################
36
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.numeric_std.all;
40
 
41
library neorv32;
42
use neorv32.neorv32_package.all;
43
 
44
entity neorv32_cpu_bus is
45
  generic (
46 57 zero_gravi
    CPU_EXTENSION_RISCV_A : boolean := false;  -- implement atomic extension?
47
    CPU_EXTENSION_RISCV_C : boolean := true;   -- implement compressed extension?
48 15 zero_gravi
    -- Physical memory protection (PMP) --
49 57 zero_gravi
    PMP_NUM_REGIONS       : natural := 0;      -- number of regions (0..64)
50
    PMP_MIN_GRANULARITY   : natural := 64*1024 -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
51 2 zero_gravi
  );
52
  port (
53
    -- global control --
54 12 zero_gravi
    clk_i          : in  std_ulogic; -- global clock, rising edge
55 38 zero_gravi
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
56 12 zero_gravi
    ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
57
    -- cpu instruction fetch interface --
58
    fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
59
    instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
60
    i_wait_o       : out std_ulogic; -- wait for fetch to complete
61
    --
62
    ma_instr_o     : out std_ulogic; -- misaligned instruction address
63
    be_instr_o     : out std_ulogic; -- bus error on instruction access
64
    -- cpu data access interface --
65
    addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
66
    wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
67
    rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
68
    mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
69
    d_wait_o       : out std_ulogic; -- wait for access to complete
70
    --
71 57 zero_gravi
    excl_state_o   : out std_ulogic; -- atomic/exclusive access status
72 12 zero_gravi
    ma_load_o      : out std_ulogic; -- misaligned load data address
73
    ma_store_o     : out std_ulogic; -- misaligned store data address
74
    be_load_o      : out std_ulogic; -- bus error on load data access
75
    be_store_o     : out std_ulogic; -- bus error on store data access
76 15 zero_gravi
    -- physical memory protection --
77
    pmp_addr_i     : in  pmp_addr_if_t; -- addresses
78
    pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
79 12 zero_gravi
    -- instruction bus --
80
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
81
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
82
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
83
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
84
    i_bus_we_o     : out std_ulogic; -- write enable
85
    i_bus_re_o     : out std_ulogic; -- read enable
86 57 zero_gravi
    i_bus_lock_o   : out std_ulogic; -- exclusive access request
87 12 zero_gravi
    i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
88
    i_bus_err_i    : in  std_ulogic; -- bus transfer error
89
    i_bus_fence_o  : out std_ulogic; -- fence operation
90
    -- data bus --
91
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
92
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
93
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
94
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
95
    d_bus_we_o     : out std_ulogic; -- write enable
96
    d_bus_re_o     : out std_ulogic; -- read enable
97 57 zero_gravi
    d_bus_lock_o   : out std_ulogic; -- exclusive access request
98 12 zero_gravi
    d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
99
    d_bus_err_i    : in  std_ulogic; -- bus transfer error
100 57 zero_gravi
    d_bus_fence_o  : out std_ulogic  -- fence operation
101 2 zero_gravi
  );
102
end neorv32_cpu_bus;
103
 
104
architecture neorv32_cpu_bus_rtl of neorv32_cpu_bus is
105
 
106 15 zero_gravi
  -- PMP modes --
107
  constant pmp_off_mode_c   : std_ulogic_vector(1 downto 0) := "00"; -- null region (disabled)
108 36 zero_gravi
--constant pmp_tor_mode_c   : std_ulogic_vector(1 downto 0) := "01"; -- top of range
109
--constant pmp_na4_mode_c   : std_ulogic_vector(1 downto 0) := "10"; -- naturally aligned four-byte region
110 15 zero_gravi
  constant pmp_napot_mode_c : std_ulogic_vector(1 downto 0) := "11"; -- naturally aligned power-of-two region (>= 8 bytes)
111
 
112 40 zero_gravi
  -- PMP granularity --
113 42 zero_gravi
  constant pmp_g_c : natural := index_size_f(PMP_MIN_GRANULARITY);
114 40 zero_gravi
 
115 15 zero_gravi
  -- PMP configuration register bits --
116
  constant pmp_cfg_r_c  : natural := 0; -- read permit
117
  constant pmp_cfg_w_c  : natural := 1; -- write permit
118
  constant pmp_cfg_x_c  : natural := 2; -- execute permit
119
  constant pmp_cfg_al_c : natural := 3; -- mode bit low
120
  constant pmp_cfg_ah_c : natural := 4; -- mode bit high
121
  constant pmp_cfg_l_c  : natural := 7; -- locked entry
122
 
123 12 zero_gravi
  -- data interface registers --
124 2 zero_gravi
  signal mar, mdo, mdi : std_ulogic_vector(data_width_c-1 downto 0);
125
 
126 12 zero_gravi
  -- data access --
127
  signal d_bus_wdata : std_ulogic_vector(data_width_c-1 downto 0); -- write data
128
  signal d_bus_rdata : std_ulogic_vector(data_width_c-1 downto 0); -- read data
129 57 zero_gravi
  signal rdata_align : std_ulogic_vector(data_width_c-1 downto 0); -- read-data alignment
130 12 zero_gravi
  signal d_bus_ben   : std_ulogic_vector(3 downto 0); -- write data byte enable
131 2 zero_gravi
 
132
  -- misaligned access? --
133 12 zero_gravi
  signal d_misaligned, i_misaligned : std_ulogic;
134 2 zero_gravi
 
135 12 zero_gravi
  -- bus arbiter --
136
  type bus_arbiter_t is record
137
    rd_req    : std_ulogic; -- read access in progress
138
    wr_req    : std_ulogic; -- write access in progress
139
    err_align : std_ulogic; -- alignment error
140
    err_bus   : std_ulogic; -- bus access error
141
  end record;
142
  signal i_arbiter, d_arbiter : bus_arbiter_t;
143
 
144 57 zero_gravi
  -- atomic/exclusive access - reservation controller --
145
  signal exclusive_lock        : std_ulogic;
146
  signal exclusive_lock_status : std_ulogic_vector(data_width_c-1 downto 0); -- read data
147
 
148 15 zero_gravi
  -- physical memory protection --
149 42 zero_gravi
  type pmp_addr_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
150 15 zero_gravi
  type pmp_t is record
151 40 zero_gravi
    addr_mask     : pmp_addr_t;
152
    region_base   : pmp_addr_t; -- region config base address
153 16 zero_gravi
    region_i_addr : pmp_addr_t; -- masked instruction access base address for comparator
154
    region_d_addr : pmp_addr_t; -- masked data access base address for comparator
155 42 zero_gravi
    i_match       : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region match for instruction interface
156
    d_match       : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region match for data interface
157
    if_fault      : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for fetch operation
158
    ld_fault      : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for load operation
159
    st_fault      : std_ulogic_vector(PMP_NUM_REGIONS-1 downto 0); -- region access fault for store operation
160 15 zero_gravi
  end record;
161
  signal pmp : pmp_t;
162
 
163 47 zero_gravi
  -- memory control signal buffer (when using PMP) --
164
  signal d_bus_we, d_bus_we_buf : std_ulogic;
165
  signal d_bus_re, d_bus_re_buf : std_ulogic;
166
  signal i_bus_re, i_bus_re_buf : std_ulogic;
167
 
168
  -- pmp faults anyone? --
169 15 zero_gravi
  signal if_pmp_fault : std_ulogic; -- pmp instruction access fault
170
  signal ld_pmp_fault : std_ulogic; -- pmp load access fault
171
  signal st_pmp_fault : std_ulogic; -- pmp store access fault
172
 
173 2 zero_gravi
begin
174
 
175 47 zero_gravi
  -- Sanity Checks --------------------------------------------------------------------------
176
  -- -------------------------------------------------------------------------------------------
177
  assert not (PMP_NUM_REGIONS > pmp_num_regions_critical_c) report "NEORV32 CPU CONFIG WARNING! Number of implemented PMP regions (PMP_NUM_REGIONS = " & integer'image(PMP_NUM_REGIONS) & ") beyond critical limit (pmp_num_regions_critical_c = " & integer'image(pmp_num_regions_critical_c) & "). Inserting another register stage (that will increase memory latency by +1 cycle)." severity warning;
178
 
179
 
180 12 zero_gravi
  -- Data Interface: Access Address ---------------------------------------------------------
181 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
182 56 zero_gravi
  mem_adr_reg: process(rstn_i, clk_i)
183 2 zero_gravi
  begin
184 56 zero_gravi
    if (rstn_i = '0') then
185
      mar <= (others => def_rst_val_c);
186
    elsif rising_edge(clk_i) then
187 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
188 12 zero_gravi
        mar <= addr_i;
189 2 zero_gravi
      end if;
190
    end if;
191
  end process mem_adr_reg;
192
 
193 12 zero_gravi
  -- read-back for exception controller --
194
  mar_o <= mar;
195 2 zero_gravi
 
196 12 zero_gravi
  -- alignment check --
197
  misaligned_d_check: process(mar, ctrl_i)
198
  begin
199
    -- check data access --
200
    d_misaligned <= '0'; -- default
201
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
202
      when "00" => -- byte
203
        d_misaligned <= '0';
204
      when "01" => -- half-word
205
        if (mar(0) /= '0') then
206
          d_misaligned <= '1';
207
        end if;
208
      when others => -- word
209
        if (mar(1 downto 0) /= "00") then
210
          d_misaligned <= '1';
211
        end if;
212
    end case;
213
  end process misaligned_d_check;
214 2 zero_gravi
 
215
 
216 12 zero_gravi
  -- Data Interface: Write Data -------------------------------------------------------------
217 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
218 56 zero_gravi
  mem_do_reg: process(rstn_i, clk_i)
219 2 zero_gravi
  begin
220 56 zero_gravi
    if (rstn_i = '0') then
221
      mdo <= (others => def_rst_val_c);
222
    elsif rising_edge(clk_i) then
223 39 zero_gravi
      if (ctrl_i(ctrl_bus_mo_we_c) = '1') then
224 40 zero_gravi
        mdo <= wdata_i; -- memory data output register (MDO)
225 2 zero_gravi
      end if;
226
    end if;
227
  end process mem_do_reg;
228
 
229
  -- byte enable and output data alignment --
230
  byte_enable: process(mar, mdo, ctrl_i)
231
  begin
232
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is -- data size
233
      when "00" => -- byte
234 12 zero_gravi
        d_bus_wdata(07 downto 00) <= mdo(07 downto 00);
235
        d_bus_wdata(15 downto 08) <= mdo(07 downto 00);
236
        d_bus_wdata(23 downto 16) <= mdo(07 downto 00);
237
        d_bus_wdata(31 downto 24) <= mdo(07 downto 00);
238 36 zero_gravi
        case mar(1 downto 0) is
239
          when "00"   => d_bus_ben <= "0001";
240
          when "01"   => d_bus_ben <= "0010";
241
          when "10"   => d_bus_ben <= "0100";
242
          when others => d_bus_ben <= "1000";
243
        end case;
244 2 zero_gravi
      when "01" => -- half-word
245 12 zero_gravi
        d_bus_wdata(31 downto 16) <= mdo(15 downto 00);
246
        d_bus_wdata(15 downto 00) <= mdo(15 downto 00);
247 2 zero_gravi
        if (mar(1) = '0') then
248 12 zero_gravi
          d_bus_ben <= "0011"; -- low half-word
249 2 zero_gravi
        else
250 12 zero_gravi
          d_bus_ben <= "1100"; -- high half-word
251 2 zero_gravi
        end if;
252
      when others => -- word
253 12 zero_gravi
        d_bus_wdata <= mdo;
254
        d_bus_ben   <= "1111"; -- full word
255 2 zero_gravi
    end case;
256
  end process byte_enable;
257
 
258
 
259 12 zero_gravi
  -- Data Interface: Read Data --------------------------------------------------------------
260 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
261 57 zero_gravi
  mem_di_reg: process(rstn_i, clk_i)
262 2 zero_gravi
  begin
263 56 zero_gravi
    if (rstn_i = '0') then
264
      mdi <= (others => def_rst_val_c);
265
    elsif rising_edge(clk_i) then
266 39 zero_gravi
      if (ctrl_i(ctrl_bus_mi_we_c) = '1') then
267 40 zero_gravi
        mdi <= d_bus_rdata; -- memory data input register (MDI)
268 2 zero_gravi
      end if;
269
    end if;
270 57 zero_gravi
  end process mem_di_reg;
271 2 zero_gravi
 
272 12 zero_gravi
  -- input data alignment and sign extension --
273 2 zero_gravi
  read_align: process(mdi, mar, ctrl_i)
274 36 zero_gravi
    variable byte_in_v  : std_ulogic_vector(07 downto 0);
275
    variable hword_in_v : std_ulogic_vector(15 downto 0);
276 2 zero_gravi
  begin
277 36 zero_gravi
    -- sub-word input --
278
    case mar(1 downto 0) is
279
      when "00"   => byte_in_v := mdi(07 downto 00); hword_in_v := mdi(15 downto 00); -- byte 0 / half-word 0
280
      when "01"   => byte_in_v := mdi(15 downto 08); hword_in_v := mdi(15 downto 00); -- byte 1 / half-word 0
281
      when "10"   => byte_in_v := mdi(23 downto 16); hword_in_v := mdi(31 downto 16); -- byte 2 / half-word 1
282
      when others => byte_in_v := mdi(31 downto 24); hword_in_v := mdi(31 downto 16); -- byte 3 / half-word 1
283
    end case;
284
    -- actual data size --
285
    case ctrl_i(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) is
286 2 zero_gravi
      when "00" => -- byte
287 57 zero_gravi
        rdata_align(31 downto 08) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and byte_in_v(7))); -- sign extension
288
        rdata_align(07 downto 00) <= byte_in_v;
289 2 zero_gravi
      when "01" => -- half-word
290 57 zero_gravi
        rdata_align(31 downto 16) <= (others => ((not ctrl_i(ctrl_bus_unsigned_c)) and hword_in_v(15))); -- sign extension
291
        rdata_align(15 downto 00) <= hword_in_v; -- high half-word
292 2 zero_gravi
      when others => -- word
293 57 zero_gravi
        rdata_align <= mdi; -- full word
294 2 zero_gravi
    end case;
295
  end process read_align;
296
 
297 57 zero_gravi
  -- insert exclusive lock status for SC operations only --
298
  rdata_o <= exclusive_lock_status when (CPU_EXTENSION_RISCV_A = true) and (ctrl_i(ctrl_bus_ch_lock_c) = '1') else rdata_align;
299 2 zero_gravi
 
300 57 zero_gravi
 
301 39 zero_gravi
  -- Data Access Arbiter --------------------------------------------------------------------
302 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
303 39 zero_gravi
  data_access_arbiter: process(rstn_i, clk_i)
304 2 zero_gravi
  begin
305 39 zero_gravi
    if (rstn_i = '0') then
306
      d_arbiter.wr_req    <= '0';
307
      d_arbiter.rd_req    <= '0';
308
      d_arbiter.err_align <= '0';
309
      d_arbiter.err_bus   <= '0';
310
    elsif rising_edge(clk_i) then
311
      -- data access request --
312
      if (d_arbiter.wr_req = '0') and (d_arbiter.rd_req = '0') then -- idle
313
        d_arbiter.wr_req    <= ctrl_i(ctrl_bus_wr_c);
314
        d_arbiter.rd_req    <= ctrl_i(ctrl_bus_rd_c);
315
        d_arbiter.err_align <= d_misaligned;
316
        d_arbiter.err_bus   <= '0';
317
      else -- in progress
318 40 zero_gravi
        d_arbiter.err_align <= (d_arbiter.err_align or d_misaligned) and (not ctrl_i(ctrl_bus_derr_ack_c));
319 57 zero_gravi
        d_arbiter.err_bus   <= (d_arbiter.err_bus or d_bus_err_i or (st_pmp_fault and d_arbiter.wr_req) or (ld_pmp_fault and d_arbiter.rd_req)) and
320
                               (not ctrl_i(ctrl_bus_derr_ack_c));
321 39 zero_gravi
        if (d_bus_ack_i = '1') or (ctrl_i(ctrl_bus_derr_ack_c) = '1') then -- wait for normal termination / CPU abort
322
          d_arbiter.wr_req <= '0';
323
          d_arbiter.rd_req <= '0';
324
        end if;
325
      end if;
326 12 zero_gravi
    end if;
327 39 zero_gravi
  end process data_access_arbiter;
328 12 zero_gravi
 
329 39 zero_gravi
  -- wait for bus transaction to finish --
330
  d_wait_o <= (d_arbiter.wr_req or d_arbiter.rd_req) and (not d_bus_ack_i);
331
 
332
  -- output data access error to controller --
333
  ma_load_o  <= d_arbiter.rd_req and d_arbiter.err_align;
334
  be_load_o  <= d_arbiter.rd_req and d_arbiter.err_bus;
335
  ma_store_o <= d_arbiter.wr_req and d_arbiter.err_align;
336
  be_store_o <= d_arbiter.wr_req and d_arbiter.err_bus;
337
 
338
  -- data bus (read/write)--
339
  d_bus_addr_o  <= mar;
340
  d_bus_wdata_o <= d_bus_wdata;
341
  d_bus_ben_o   <= d_bus_ben;
342 47 zero_gravi
  d_bus_we      <= ctrl_i(ctrl_bus_wr_c) and (not d_misaligned) and (not st_pmp_fault); -- no actual write when misaligned or PMP fault
343
  d_bus_re      <= ctrl_i(ctrl_bus_rd_c) and (not d_misaligned) and (not ld_pmp_fault); -- no actual read when misaligned or PMP fault
344
  d_bus_we_o    <= d_bus_we_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else d_bus_we;
345
  d_bus_re_o    <= d_bus_re_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else d_bus_re;
346 39 zero_gravi
  d_bus_fence_o <= ctrl_i(ctrl_bus_fence_c);
347
  d_bus_rdata   <= d_bus_rdata_i;
348
 
349 47 zero_gravi
  -- additional register stage for control signals if using PMP_NUM_REGIONS > pmp_num_regions_critical_c --
350
  pmp_dbus_buffer: process(rstn_i, clk_i)
351
  begin
352
    if (rstn_i = '0') then
353
      d_bus_we_buf <= '0';
354
      d_bus_re_buf <= '0';
355
    elsif rising_edge(clk_i) then
356
      d_bus_we_buf <= d_bus_we;
357
      d_bus_re_buf <= d_bus_re;
358
    end if;
359
  end process pmp_dbus_buffer;
360 39 zero_gravi
 
361 57 zero_gravi
 
362
  -- Reservation Controller (LR/SC [A extension]) -------------------------------------------
363
  -- -------------------------------------------------------------------------------------------
364
  exclusive_access_controller: process(rstn_i, clk_i)
365 53 zero_gravi
  begin
366
    if (rstn_i = '0') then
367 57 zero_gravi
      exclusive_lock <= '0';
368 53 zero_gravi
    elsif rising_edge(clk_i) then
369
      if (CPU_EXTENSION_RISCV_A = true) then
370 57 zero_gravi
        if (ctrl_i(ctrl_trap_c) = '1') or (ctrl_i(ctrl_bus_de_lock_c) = '1') then -- remove lock if entering a trap or executing a non-load-reservate memory access
371
          exclusive_lock <= '0';
372
        elsif (ctrl_i(ctrl_bus_lock_c) = '1') then -- set new lock
373
          exclusive_lock <= '1';
374 53 zero_gravi
        end if;
375
      else
376 57 zero_gravi
        exclusive_lock <= '0';
377 53 zero_gravi
      end if;
378
    end if;
379 57 zero_gravi
  end process exclusive_access_controller;
380 47 zero_gravi
 
381 57 zero_gravi
  -- lock status for SC operation --
382
  exclusive_lock_status(data_width_c-1 downto 1) <= (others => '0');
383
  exclusive_lock_status(0) <= not exclusive_lock;
384 53 zero_gravi
 
385 57 zero_gravi
  -- output reservation status to control unit (to check if SC should write at all) --
386
  excl_state_o <= exclusive_lock;
387
 
388
  -- output to memory system --
389
  i_bus_lock_o <= '0'; -- instruction fetches cannot be lockes
390
  d_bus_lock_o <= exclusive_lock;
391
 
392
 
393 12 zero_gravi
  -- Instruction Fetch Arbiter --------------------------------------------------------------
394
  -- -------------------------------------------------------------------------------------------
395 38 zero_gravi
  ifetch_arbiter: process(rstn_i, clk_i)
396 12 zero_gravi
  begin
397 38 zero_gravi
    if (rstn_i = '0') then
398
      i_arbiter.rd_req    <= '0';
399
      i_arbiter.err_align <= '0';
400
      i_arbiter.err_bus   <= '0';
401
    elsif rising_edge(clk_i) then
402 12 zero_gravi
      -- instruction fetch request --
403
      if (i_arbiter.rd_req = '0') then -- idle
404
        i_arbiter.rd_req    <= ctrl_i(ctrl_bus_if_c);
405
        i_arbiter.err_align <= i_misaligned;
406
        i_arbiter.err_bus   <= '0';
407 57 zero_gravi
      else -- in progres
408
        i_arbiter.err_align <= (i_arbiter.err_align or i_misaligned) and (not ctrl_i(ctrl_bus_ierr_ack_c));
409
        i_arbiter.err_bus   <= (i_arbiter.err_bus or i_bus_err_i or if_pmp_fault) and (not ctrl_i(ctrl_bus_ierr_ack_c));
410 28 zero_gravi
        if (i_bus_ack_i = '1') or (ctrl_i(ctrl_bus_ierr_ack_c) = '1') then -- wait for normal termination / CPU abort
411 23 zero_gravi
          i_arbiter.rd_req <= '0';
412 2 zero_gravi
        end if;
413
      end if;
414
    end if;
415 12 zero_gravi
  end process ifetch_arbiter;
416 2 zero_gravi
 
417 36 zero_gravi
  i_arbiter.wr_req <= '0'; -- instruction fetch is read-only
418
 
419 12 zero_gravi
  -- wait for bus transaction to finish --
420
  i_wait_o <= i_arbiter.rd_req and (not i_bus_ack_i);
421 2 zero_gravi
 
422 12 zero_gravi
  -- output instruction fetch error to controller --
423
  ma_instr_o <= i_arbiter.err_align;
424
  be_instr_o <= i_arbiter.err_bus;
425 11 zero_gravi
 
426 12 zero_gravi
  -- instruction bus (read-only) --
427 31 zero_gravi
  i_bus_addr_o  <= fetch_pc_i(data_width_c-1 downto 2) & "00"; -- instruction access is always 4-byte aligned (even for compressed instructions)
428 40 zero_gravi
  i_bus_wdata_o <= (others => '0'); -- instruction fetch is read-only
429 12 zero_gravi
  i_bus_ben_o   <= (others => '0');
430
  i_bus_we_o    <= '0';
431 47 zero_gravi
  i_bus_re      <= ctrl_i(ctrl_bus_if_c) and (not i_misaligned) and (not if_pmp_fault); -- no actual read when misaligned or PMP fault
432
  i_bus_re_o    <= i_bus_re_buf when (PMP_NUM_REGIONS > pmp_num_regions_critical_c) else i_bus_re;
433 12 zero_gravi
  i_bus_fence_o <= ctrl_i(ctrl_bus_fencei_c);
434
  instr_o       <= i_bus_rdata_i;
435 2 zero_gravi
 
436 39 zero_gravi
  -- check instruction access --
437
  i_misaligned <= '0' when (CPU_EXTENSION_RISCV_C = true) else -- no alignment exceptions possible when using C-extension
438
                  '1' when (fetch_pc_i(1) = '1') else '0'; -- 32-bit accesses only
439 2 zero_gravi
 
440 47 zero_gravi
  -- additional register stage for control signals if using PMP_NUM_REGIONS > pmp_num_regions_critical_c --
441
  pmp_ibus_buffer: process(rstn_i, clk_i)
442
  begin
443
    if (rstn_i = '0') then
444
      i_bus_re_buf <= '0';
445
    elsif rising_edge(clk_i) then
446
      i_bus_re_buf <= i_bus_re;
447
    end if;
448
  end process pmp_ibus_buffer;
449 2 zero_gravi
 
450 47 zero_gravi
 
451 15 zero_gravi
  -- Physical Memory Protection (PMP) -------------------------------------------------------
452
  -- -------------------------------------------------------------------------------------------
453 40 zero_gravi
  -- compute address masks (ITERATIVE!!!) --
454 56 zero_gravi
  pmp_masks: process(rstn_i, clk_i)
455 15 zero_gravi
  begin
456 56 zero_gravi
    if (rstn_i = '0') then
457
      pmp.addr_mask <= (others => (others => def_rst_val_c));
458
    elsif rising_edge(clk_i) then -- address mask computation (not the actual address check!) has a latency of max +32 cycles
459 42 zero_gravi
      for r in 0 to PMP_NUM_REGIONS-1 loop -- iterate over all regions
460 40 zero_gravi
        pmp.addr_mask(r) <= (others => '0');
461
        for i in pmp_g_c to data_width_c-1 loop
462
          pmp.addr_mask(r)(i) <= pmp.addr_mask(r)(i-1) or (not pmp_addr_i(r)(i-1));
463 17 zero_gravi
        end loop; -- i
464
      end loop; -- r
465
    end if;
466 15 zero_gravi
  end process pmp_masks;
467
 
468
 
469 40 zero_gravi
  -- address access check --
470
  pmp_address_check:
471 42 zero_gravi
  for r in 0 to PMP_NUM_REGIONS-1 generate -- iterate over all regions
472 40 zero_gravi
    pmp.region_i_addr(r) <= fetch_pc_i                             and pmp.addr_mask(r);
473
    pmp.region_d_addr(r) <= mar                                    and pmp.addr_mask(r);
474
    pmp.region_base(r)   <= pmp_addr_i(r)(data_width_c+1 downto 2) and pmp.addr_mask(r);
475
    --
476
    pmp.i_match(r) <= '1' when (pmp.region_i_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0';
477
    pmp.d_match(r) <= '1' when (pmp.region_d_addr(r)(data_width_c-1 downto pmp_g_c) = pmp.region_base(r)(data_width_c-1 downto pmp_g_c)) else '0';
478 16 zero_gravi
  end generate; -- r
479 15 zero_gravi
 
480
 
481
  -- check access type and regions's permissions --
482 36 zero_gravi
  pmp_check_permission: process(pmp, pmp_ctrl_i, ctrl_i)
483 15 zero_gravi
  begin
484 42 zero_gravi
    for r in 0 to PMP_NUM_REGIONS-1 loop -- iterate over all regions
485 36 zero_gravi
      if ((ctrl_i(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) = priv_mode_u_c) or (pmp_ctrl_i(r)(pmp_cfg_l_c) = '1')) and -- user privilege level or locked pmp entry -> enforce permissions also for machine mode
486
         (pmp_ctrl_i(r)(pmp_cfg_ah_c downto pmp_cfg_al_c) /= pmp_off_mode_c) then -- active entry
487 15 zero_gravi
        pmp.if_fault(r) <= pmp.i_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_x_c)); -- fetch access match no execute permission
488
        pmp.ld_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_r_c)); -- load access match no read permission
489
        pmp.st_fault(r) <= pmp.d_match(r) and (not pmp_ctrl_i(r)(pmp_cfg_w_c)); -- store access match no write permission
490
      else
491
        pmp.if_fault(r) <= '0';
492
        pmp.ld_fault(r) <= '0';
493
        pmp.st_fault(r) <= '0';
494
      end if;
495
    end loop; -- r
496
  end process pmp_check_permission;
497
 
498
 
499
  -- final PMP access fault signals --
500 42 zero_gravi
  if_pmp_fault <= or_all_f(pmp.if_fault) when (PMP_NUM_REGIONS > 0) else '0';
501
  ld_pmp_fault <= or_all_f(pmp.ld_fault) when (PMP_NUM_REGIONS > 0) else '0';
502
  st_pmp_fault <= or_all_f(pmp.st_fault) when (PMP_NUM_REGIONS > 0) else '0';
503 15 zero_gravi
 
504
 
505 2 zero_gravi
end neorv32_cpu_bus_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.