OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 15

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Control >>                                                                   #
3
-- # ********************************************************************************************* #
4 6 zero_gravi
-- # CPU operation is split into a fetch engine (responsible for fetching an decompressing instr-  #
5
-- # uctions), an execute engine (responsible for actually executing the instructions), an inter-  #
6
-- # rupt and exception handling controller and the RISC-V status and control registers (CSRs).    #
7 2 zero_gravi
-- # ********************************************************************************************* #
8
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
11
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45
 
46
entity neorv32_cpu_control is
47
  generic (
48
    -- General --
49 12 zero_gravi
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
50
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
51
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
52 2 zero_gravi
    -- RISC-V CPU Extensions --
53 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
54
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
55
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
56 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
57 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
58 15 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
59
    -- Physical memory protection (PMP) --
60
    PMP_USE                      : boolean := false; -- implement physical memory protection?
61
    PMP_NUM_REGIONS              : natural := 4; -- number of regions (1..4)
62
    PMP_GRANULARITY              : natural := 0  -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
63 2 zero_gravi
  );
64
  port (
65
    -- global control --
66
    clk_i         : in  std_ulogic; -- global clock, rising edge
67
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
68
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
69
    -- status input --
70
    alu_wait_i    : in  std_ulogic; -- wait for ALU
71 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
72
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
73 2 zero_gravi
    -- data input --
74
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
75
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
76
    alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU.add result
77
    -- data output --
78
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
79 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
80
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
81
    next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to current instruction)
82 2 zero_gravi
    -- csr data interface --
83
    csr_wdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR write data
84
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
85 14 zero_gravi
    -- interrupts (risc-v compliant) --
86
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
87
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
88 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
89 14 zero_gravi
    -- fast interrupts (custom) --
90
    firq_i        : in  std_ulogic_vector(3 downto 0);
91 11 zero_gravi
    -- system time input from MTIME --
92
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
93 15 zero_gravi
    -- physical memory protection --
94
    pmp_addr_o     : out pmp_addr_if_t; -- addresses
95
    pmp_maddr_i    : in  pmp_addr_if_t; -- masked addresses
96
    pmp_ctrl_o     : out pmp_ctrl_if_t; -- configs
97
    priv_mode_o    : out std_ulogic_vector(1 downto 0); -- current CPU privilege level
98 2 zero_gravi
    -- bus access exceptions --
99
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0);  -- memory address register
100
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
101
    ma_load_i     : in  std_ulogic; -- misaligned load data address
102
    ma_store_i    : in  std_ulogic; -- misaligned store data address
103
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
104
    be_load_i     : in  std_ulogic; -- bus error on load data access
105 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
106 2 zero_gravi
  );
107
end neorv32_cpu_control;
108
 
109
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
110
 
111 6 zero_gravi
  -- instruction fetch enginge --
112 13 zero_gravi
  type fetch_engine_state_t is (IFETCH_RESET, IFETCH_0, IFETCH_1, IFETCH_2);
113 6 zero_gravi
  type fetch_engine_t is record
114
    state           : fetch_engine_state_t;
115
    state_nxt       : fetch_engine_state_t;
116
    i_buf           : std_ulogic_vector(33 downto 0);
117
    i_buf_nxt       : std_ulogic_vector(33 downto 0);
118
    i_buf2          : std_ulogic_vector(33 downto 0);
119
    i_buf2_nxt      : std_ulogic_vector(33 downto 0);
120 13 zero_gravi
    ci_input        : std_ulogic_vector(15 downto 0); -- input to compressed instr. decoder
121 6 zero_gravi
    i_buf_state     : std_ulogic_vector(01 downto 0);
122
    i_buf_state_nxt : std_ulogic_vector(01 downto 0);
123
    pc_real         : std_ulogic_vector(data_width_c-1 downto 0);
124
    pc_real_add     : std_ulogic_vector(data_width_c-1 downto 0);
125
    pc_fetch        : std_ulogic_vector(data_width_c-1 downto 0);
126
    pc_fetch_add    : std_ulogic_vector(data_width_c-1 downto 0);
127
    reset           : std_ulogic;
128
    bus_err_ack     : std_ulogic;
129
  end record;
130
  signal fetch_engine : fetch_engine_t;
131 2 zero_gravi
 
132
  -- pre-decoder --
133
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
134
  signal ci_illegal : std_ulogic;
135
 
136 6 zero_gravi
  -- instrucion prefetch buffer (IPB) --
137
  type ipb_t is record
138 13 zero_gravi
    wdata  : std_ulogic_vector(35 downto 0);
139
    rdata  : std_ulogic_vector(35 downto 0);
140 6 zero_gravi
    waddr  : std_ulogic_vector(31 downto 0);
141
    raddr  : std_ulogic_vector(31 downto 0);
142
    status : std_ulogic;
143
    free   : std_ulogic;
144
    avail  : std_ulogic;
145
    we     : std_ulogic;
146
    re     : std_ulogic;
147
    clear  : std_ulogic;
148
  end record;
149
  signal ipb : ipb_t;
150 2 zero_gravi
 
151 6 zero_gravi
  -- instruction execution engine --
152 12 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP, EXECUTE, ALU_WAIT, BRANCH, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, CSR_ACCESS);
153 6 zero_gravi
  type execute_engine_t is record
154
    state        : execute_engine_state_t;
155
    state_nxt    : execute_engine_state_t;
156
    i_reg        : std_ulogic_vector(31 downto 0);
157
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
158
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
159
    is_ci_nxt    : std_ulogic;
160
    is_jump      : std_ulogic; -- current instruction is jump instruction
161
    is_jump_nxt  : std_ulogic;
162
    branch_taken : std_ulogic; -- branch condition fullfilled
163
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
164
    pc_nxt       : std_ulogic_vector(data_width_c-1 downto 0);
165
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
166
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
167 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
168
    sleep_nxt    : std_ulogic; -- CPU in sleep mode
169 6 zero_gravi
  end record;
170
  signal execute_engine : execute_engine_t;
171 2 zero_gravi
 
172 12 zero_gravi
  signal next_pc_tmp : std_ulogic_vector(data_width_c-1 downto 0);
173
 
174 6 zero_gravi
  -- trap controller --
175
  type trap_ctrl_t is record
176
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
177
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
178
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
179
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
180
    exc_ack       : std_ulogic; -- acknowledge all exceptions
181
    irq_ack       : std_ulogic_vector(interrupt_width_c-1 downto 0); -- acknowledge specific interrupt
182
    irq_ack_nxt   : std_ulogic_vector(interrupt_width_c-1 downto 0);
183 14 zero_gravi
    cause         : std_ulogic_vector(5 downto 0); -- trap ID (for "mcause"), only for hw
184
    cause_nxt     : std_ulogic_vector(5 downto 0);
185 6 zero_gravi
    --
186
    env_start     : std_ulogic; -- start trap handler env
187
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
188
    env_end       : std_ulogic; -- end trap handler env
189
    --
190
    instr_be      : std_ulogic; -- instruction fetch bus error
191
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
192
    instr_il      : std_ulogic; -- illegal instruction
193
    env_call      : std_ulogic;
194
    break_point   : std_ulogic;
195
  end record;
196
  signal trap_ctrl : trap_ctrl_t;
197
 
198
  -- CPU control signals --
199
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
200 2 zero_gravi
 
201 6 zero_gravi
  -- fast bus access --
202
  signal bus_fast_ir : std_ulogic;
203 2 zero_gravi
 
204 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
205 15 zero_gravi
  type pmp_ctrl_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(7 downto 0);
206
  type pmp_addr_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
207 6 zero_gravi
  type csr_t is record
208
    we           : std_ulogic; -- write enable
209
    we_nxt       : std_ulogic;
210
    re           : std_ulogic; -- read enable
211
    re_nxt       : std_ulogic;
212
    mstatus_mie  : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
213
    mstatus_mpie : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/-)
214
    mie_msie     : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
215
    mie_meie     : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
216 14 zero_gravi
    mie_mtie     : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W
217
    mie_firqe    : std_ulogic_vector(3 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
218 15 zero_gravi
    mpp          : std_ulogic_vector(1 downto 0); -- machine previous privilege mode
219
    privilege    : std_ulogic_vector(1 downto 0); -- hart's current previous privilege mode
220 6 zero_gravi
    mepc         : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
221 14 zero_gravi
    mcause       : std_ulogic_vector(data_width_c-1 downto 0); -- mcause: machine trap cause (R/-)
222 12 zero_gravi
    mtvec        : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
223 11 zero_gravi
    mtval        : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or isntruction (R/W)
224 6 zero_gravi
    mscratch     : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
225 11 zero_gravi
    mcycle       : std_ulogic_vector(32 downto 0); -- mcycle (R/W), plus carry bit
226
    minstret     : std_ulogic_vector(32 downto 0); -- minstret (R/W), plus carry bit
227 12 zero_gravi
    mcycleh      : std_ulogic_vector(19 downto 0); -- mcycleh (R/W) - REDUCED BIT-WIDTH!
228
    minstreth    : std_ulogic_vector(19 downto 0); -- minstreth (R/W) - REDUCED BIT-WIDTH!
229 15 zero_gravi
    pmpcfg       : pmp_ctrl_t; -- physical memory protection - configuration registers
230
    pmpaddr      : pmp_addr_t; -- physical memory protection - address registers
231 6 zero_gravi
  end record;
232
  signal csr : csr_t;
233 2 zero_gravi
 
234 11 zero_gravi
  signal mcycle_msb   : std_ulogic;
235
  signal minstret_msb : std_ulogic;
236 12 zero_gravi
  signal systime      : std_ulogic_vector(63 downto 0);
237 2 zero_gravi
 
238 6 zero_gravi
  -- illegal instruction check --
239 2 zero_gravi
  signal illegal_instruction : std_ulogic;
240
  signal illegal_register    : std_ulogic; -- only for E-extension
241
  signal illegal_compressed  : std_ulogic; -- only fir C-extension
242
 
243 15 zero_gravi
  -- access (privilege) check --
244
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
245
 
246 2 zero_gravi
begin
247
 
248 6 zero_gravi
-- ****************************************************************************************************************************
249
-- Instruction Fetch
250
-- ****************************************************************************************************************************
251
 
252 2 zero_gravi
  -- Compressed Instructions Recoding -------------------------------------------------------
253
  -- -------------------------------------------------------------------------------------------
254
  neorv32_cpu_decompressor_inst_true:
255
  if (CPU_EXTENSION_RISCV_C = true) generate
256
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
257
    port map (
258
      -- instruction input --
259 13 zero_gravi
      ci_instr16_i => fetch_engine.ci_input, -- compressed instruction input
260 2 zero_gravi
      -- instruction output --
261
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
262
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
263
    );
264
  end generate;
265
 
266
  neorv32_cpu_decompressor_inst_false:
267
  if (CPU_EXTENSION_RISCV_C = false) generate
268 6 zero_gravi
    ci_instr32 <= (others => '0');
269 2 zero_gravi
    ci_illegal <= '0';
270
  end generate;
271
 
272
 
273 6 zero_gravi
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
274
  -- -------------------------------------------------------------------------------------------
275
  -- for registers that require a specific reset state --
276
  fetch_engine_fsm_sync_rst: process(rstn_i, clk_i)
277
  begin
278
    if (rstn_i = '0') then
279
      fetch_engine.state <= IFETCH_RESET;
280
    elsif rising_edge(clk_i) then
281
      if (fetch_engine.reset = '1') then
282
        fetch_engine.state <= IFETCH_RESET;
283
      else
284
        fetch_engine.state <= fetch_engine.state_nxt;
285
      end if;
286
    end if;
287
  end process fetch_engine_fsm_sync_rst;
288
 
289
 
290
  -- for registers that DO NOT require a specific reset state --
291
  fetch_engine_fsm_sync: process(clk_i)
292
  begin
293
    if rising_edge(clk_i) then
294
      if (fetch_engine.state = IFETCH_RESET) then
295
        fetch_engine.pc_fetch  <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
296
        fetch_engine.pc_real   <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
297
      else
298
        fetch_engine.pc_real   <= std_ulogic_vector(unsigned(fetch_engine.pc_real(data_width_c-1 downto 1) & '0')  + unsigned(fetch_engine.pc_real_add(data_width_c-1 downto 1) & '0'));
299
        fetch_engine.pc_fetch  <= std_ulogic_vector(unsigned(fetch_engine.pc_fetch(data_width_c-1 downto 1) & '0') + unsigned(fetch_engine.pc_fetch_add(data_width_c-1 downto 1) & '0'));
300
      end if;
301
      --
302
      fetch_engine.i_buf       <= fetch_engine.i_buf_nxt;
303
      fetch_engine.i_buf2      <= fetch_engine.i_buf2_nxt;
304
      fetch_engine.i_buf_state <= fetch_engine.i_buf_state_nxt;
305
    end if;
306
  end process fetch_engine_fsm_sync;
307
 
308 12 zero_gravi
  -- PC output --
309
  fetch_pc_o <= fetch_engine.pc_fetch(data_width_c-1 downto 1) & '0';
310 6 zero_gravi
 
311 12 zero_gravi
 
312 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
313
  -- -------------------------------------------------------------------------------------------
314 13 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, csr, ipb, instr_i, bus_i_wait_i, ci_instr32, ci_illegal, be_instr_i, ma_instr_i)
315 6 zero_gravi
  begin
316
    -- arbiter defaults --
317 13 zero_gravi
    bus_fast_ir                  <= '0';
318 6 zero_gravi
    fetch_engine.state_nxt       <= fetch_engine.state;
319
    fetch_engine.pc_fetch_add    <= (others => '0');
320
    fetch_engine.pc_real_add     <= (others => '0');
321
    fetch_engine.i_buf_nxt       <= fetch_engine.i_buf;
322
    fetch_engine.i_buf2_nxt      <= fetch_engine.i_buf2;
323
    fetch_engine.i_buf_state_nxt <= fetch_engine.i_buf_state;
324 13 zero_gravi
    fetch_engine.ci_input        <= fetch_engine.i_buf2(15 downto 00);
325 6 zero_gravi
    fetch_engine.bus_err_ack     <= '0';
326
 
327
    -- instruction prefetch buffer interface --
328
    ipb.we    <= '0';
329
    ipb.clear <= '0';
330 13 zero_gravi
    ipb.wdata <= '0' & fetch_engine.i_buf2(33 downto 32) & '0' & fetch_engine.i_buf2(31 downto 0);
331 6 zero_gravi
    ipb.waddr <= fetch_engine.pc_real(data_width_c-1 downto 1) & '0';
332
 
333
    -- state machine --
334
    case fetch_engine.state is
335
 
336 11 zero_gravi
      when IFETCH_RESET => -- reset engine, prefetch buffer, get appilcation PC
337 6 zero_gravi
      -- ------------------------------------------------------------
338
        fetch_engine.i_buf_state_nxt <= (others => '0');
339
        ipb.clear                    <= '1'; -- clear instruction prefetch buffer
340
        fetch_engine.state_nxt       <= IFETCH_0;
341
 
342
      when IFETCH_0 => -- output current PC to bus system, request 32-bit word
343
      -- ------------------------------------------------------------
344 12 zero_gravi
        bus_fast_ir            <= '1'; -- fast instruction fetch request
345
        fetch_engine.state_nxt <= IFETCH_1;
346 6 zero_gravi
 
347
      when IFETCH_1 => -- store data from memory to buffer(s)
348
      -- ------------------------------------------------------------
349 12 zero_gravi
        if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
350
          fetch_engine.i_buf_nxt       <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store data word and exception info
351
          fetch_engine.i_buf2_nxt      <= fetch_engine.i_buf;
352
          fetch_engine.i_buf_state_nxt <= fetch_engine.i_buf_state(0) & '1';
353
          fetch_engine.bus_err_ack     <= '1'; -- acknowledge any instruction bus errors, the execute engine has to take care of them
354
          if (fetch_engine.i_buf_state(0) = '1') then -- buffer filled?
355
            fetch_engine.state_nxt <= IFETCH_2;
356
          else
357
            fetch_engine.pc_fetch_add <= std_ulogic_vector(to_unsigned(4, data_width_c));
358
            fetch_engine.state_nxt    <= IFETCH_0; -- get another instruction word
359
          end if;
360 6 zero_gravi
        end if;
361 11 zero_gravi
 
362 12 zero_gravi
      when IFETCH_2 => -- construct instruction word and issue
363 6 zero_gravi
      -- ------------------------------------------------------------
364 13 zero_gravi
        if (fetch_engine.pc_fetch(1) = '0') or (CPU_EXTENSION_RISCV_C = false) then -- 32-bit aligned
365
          fetch_engine.ci_input <= fetch_engine.i_buf2(15 downto 00);
366 6 zero_gravi
 
367 13 zero_gravi
          if (ipb.free = '1') then -- free entry in buffer?
368
            ipb.we <= '1';
369
            if (fetch_engine.i_buf2(01 downto 00) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed
370
              ipb.wdata                 <= '0' & fetch_engine.i_buf2(33 downto 32) & '0' & fetch_engine.i_buf2(31 downto 0);
371
              fetch_engine.pc_real_add  <= std_ulogic_vector(to_unsigned(4, data_width_c));
372
              fetch_engine.pc_fetch_add <= std_ulogic_vector(to_unsigned(4, data_width_c));
373
              fetch_engine.state_nxt    <= IFETCH_0;
374
            else -- compressed
375
              ipb.wdata                 <= ci_illegal & fetch_engine.i_buf2(33 downto 32) & '1' & ci_instr32;
376
              fetch_engine.pc_fetch_add <= std_ulogic_vector(to_unsigned(2, data_width_c));
377
              fetch_engine.pc_real_add  <= std_ulogic_vector(to_unsigned(2, data_width_c));
378
              fetch_engine.state_nxt    <= IFETCH_2; -- try to get another 16-bit instruction word in next round
379
            end if;
380
          end if;
381 12 zero_gravi
 
382 13 zero_gravi
        else -- 16-bit aligned
383
          fetch_engine.ci_input <= fetch_engine.i_buf2(31 downto 16);
384 12 zero_gravi
 
385 13 zero_gravi
          if (ipb.free = '1') then -- free entry in buffer?
386
            ipb.we <= '1';
387
            if (fetch_engine.i_buf2(17 downto 16) = "11") then -- uncompressed
388
              ipb.wdata                 <= '0' & fetch_engine.i_buf(33 downto 32) & '0' & fetch_engine.i_buf(15 downto 00) & fetch_engine.i_buf2(31 downto 16);
389
              fetch_engine.pc_real_add  <= std_ulogic_vector(to_unsigned(4, data_width_c));
390
              fetch_engine.pc_fetch_add <= std_ulogic_vector(to_unsigned(4, data_width_c));
391
              fetch_engine.state_nxt    <= IFETCH_0;
392
            else -- uncompressed
393
              ipb.wdata                 <= ci_illegal & fetch_engine.i_buf(33 downto 32) & '1' & ci_instr32;
394
              fetch_engine.pc_fetch_add <= std_ulogic_vector(to_unsigned(2, data_width_c));
395
              fetch_engine.pc_real_add  <= std_ulogic_vector(to_unsigned(2, data_width_c));
396
              fetch_engine.state_nxt    <= IFETCH_0;
397
            end if;
398 6 zero_gravi
          end if;
399 13 zero_gravi
       end if;
400 6 zero_gravi
 
401
      when others => -- undefined
402
      -- ------------------------------------------------------------
403
        fetch_engine.state_nxt <= IFETCH_RESET;
404
 
405
    end case;
406
  end process fetch_engine_fsm_comb;
407
 
408
 
409
-- ****************************************************************************************************************************
410
-- Instruction Prefetch Buffer
411
-- ****************************************************************************************************************************
412
 
413
 
414
  -- Instruction Prefetch Buffer Stage ------------------------------------------------------
415
  -- -------------------------------------------------------------------------------------------
416 13 zero_gravi
  instr_prefetch_buffer: process(rstn_i, clk_i) -- once upon a time, this was a fifo with 8 entries
417 6 zero_gravi
  begin
418
    if (rstn_i = '0') then
419
      ipb.status <= '0';
420
      ipb.rdata  <= (others => '0');
421
      ipb.raddr  <= (others => '0');
422
    elsif rising_edge(clk_i) then
423
      if (ipb.clear = '1') then
424
        ipb.status <= '0';
425
      elsif (ipb.we = '1') then
426
        ipb.status <= '1';
427
      elsif (ipb.re = '1') then
428
        ipb.status <= '0';
429
      end if;
430
      if (ipb.we = '1') then
431
        ipb.rdata <= ipb.wdata;
432
        ipb.raddr <= ipb.waddr;
433
      end if;
434
    end if;
435
  end process instr_prefetch_buffer;
436
 
437
  -- status --
438
  ipb.free  <= not ipb.status;
439
  ipb.avail <= ipb.status;
440
 
441
 
442
-- ****************************************************************************************************************************
443
-- Instruction Execution
444
-- ****************************************************************************************************************************
445
 
446
 
447 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
448
  -- -------------------------------------------------------------------------------------------
449
  imm_gen: process(clk_i)
450
  begin
451
    if rising_edge(clk_i) then
452 6 zero_gravi
      case execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) is
453 2 zero_gravi
        when opcode_store_c => -- S-immediate
454 6 zero_gravi
          imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
455
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
456
          imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
457
          imm_o(00)           <= execute_engine.i_reg(07);
458 2 zero_gravi
        when opcode_branch_c => -- B-immediate
459 6 zero_gravi
          imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
460
          imm_o(11)           <= execute_engine.i_reg(07);
461
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
462
          imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
463
          imm_o(00)           <= '0';
464 2 zero_gravi
        when opcode_lui_c | opcode_auipc_c => -- U-immediate
465 6 zero_gravi
          imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
466
          imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
467
          imm_o(11 downto 00) <= (others => '0');
468 2 zero_gravi
        when opcode_jal_c => -- J-immediate
469 6 zero_gravi
          imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
470
          imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
471
          imm_o(11)           <= execute_engine.i_reg(20);
472
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
473
          imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
474
          imm_o(00)           <= '0';
475 2 zero_gravi
        when opcode_syscsr_c => -- CSR-immediate
476 6 zero_gravi
          imm_o(31 downto 05) <= (others => '0');
477
          imm_o(04 downto 00) <= execute_engine.i_reg(19 downto 15);
478 2 zero_gravi
        when others => -- I-immediate
479 6 zero_gravi
          imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
480
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
481
          imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
482
          imm_o(00)           <= execute_engine.i_reg(20);
483 2 zero_gravi
      end case;
484
    end if;
485
  end process imm_gen;
486
 
487
 
488
  -- Branch Condition Check -----------------------------------------------------------------
489
  -- -------------------------------------------------------------------------------------------
490 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
491 2 zero_gravi
  begin
492 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
493 2 zero_gravi
      when funct3_beq_c => -- branch if equal
494 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_equal_c);
495 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
496 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_equal_c);
497 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
498 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_less_c);
499 2 zero_gravi
      when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned)
500 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_less_c);
501 2 zero_gravi
      when others => -- undefined
502 6 zero_gravi
        execute_engine.branch_taken <= '0';
503 2 zero_gravi
    end case;
504
  end process branch_check;
505
 
506
 
507 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
508 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
509 12 zero_gravi
  -- for registers that DO require a specific reset state --
510 6 zero_gravi
  execute_engine_fsm_sync_rst: process(rstn_i, clk_i)
511 2 zero_gravi
  begin
512
    if (rstn_i = '0') then
513 12 zero_gravi
      execute_engine.pc      <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
514
      execute_engine.last_pc <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
515
      execute_engine.state   <= SYS_WAIT;
516 13 zero_gravi
      execute_engine.sleep   <= '0';
517 2 zero_gravi
    elsif rising_edge(clk_i) then
518 13 zero_gravi
      execute_engine.pc    <= execute_engine.pc_nxt(data_width_c-1 downto 1) & '0';
519 6 zero_gravi
      if (execute_engine.state = EXECUTE) then
520
        execute_engine.last_pc <= execute_engine.pc(data_width_c-1 downto 1) & '0';
521
      end if;
522 12 zero_gravi
      execute_engine.state <= execute_engine.state_nxt;
523 11 zero_gravi
      execute_engine.sleep <= execute_engine.sleep_nxt;
524 2 zero_gravi
    end if;
525 6 zero_gravi
  end process execute_engine_fsm_sync_rst;
526 2 zero_gravi
 
527 6 zero_gravi
 
528 12 zero_gravi
  -- for registers that do NOT require a specific reset state --
529 6 zero_gravi
  execute_engine_fsm_sync: process(clk_i)
530 2 zero_gravi
  begin
531
    if rising_edge(clk_i) then
532 6 zero_gravi
      execute_engine.i_reg   <= execute_engine.i_reg_nxt;
533
      execute_engine.is_ci   <= execute_engine.is_ci_nxt;
534
      execute_engine.is_jump <= execute_engine.is_jump_nxt;
535
      -- control signals --
536
      ctrl <= ctrl_nxt;
537 2 zero_gravi
    end if;
538 6 zero_gravi
  end process execute_engine_fsm_sync;
539 2 zero_gravi
 
540 6 zero_gravi
  -- PC output --
541 12 zero_gravi
  next_pc_tmp <= std_ulogic_vector(unsigned(execute_engine.pc) + 2) when (execute_engine.is_ci = '1') else std_ulogic_vector(unsigned(execute_engine.pc) + 4);
542
  execute_engine.next_pc <= next_pc_tmp(data_width_c-1 downto 1) & '0';
543
  next_pc_o              <= next_pc_tmp(data_width_c-1 downto 1) & '0';
544
  curr_pc_o              <= execute_engine.pc(data_width_c-1 downto 1) & '0';
545 6 zero_gravi
 
546
 
547
  -- CPU Control Bus Output -----------------------------------------------------------------
548
  -- -------------------------------------------------------------------------------------------
549 11 zero_gravi
  ctrl_output: process(ctrl, execute_engine, fetch_engine, trap_ctrl, csr, bus_fast_ir)
550 2 zero_gravi
  begin
551
    ctrl_o <= ctrl;
552
    -- direct output of register addresses --
553 6 zero_gravi
    ctrl_o(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c)  <= execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c);
554
    ctrl_o(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c);
555
    ctrl_o(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c);
556 12 zero_gravi
    -- fast bus access requests --
557 6 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= ctrl(ctrl_bus_if_c) or bus_fast_ir;
558 12 zero_gravi
    -- bus error control --
559
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack;
560
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack;
561 6 zero_gravi
  end process ctrl_output;
562 2 zero_gravi
 
563
 
564 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
565
  -- -------------------------------------------------------------------------------------------
566 15 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, fetch_engine, ipb, trap_ctrl, csr, ctrl, csr_acc_valid,
567 12 zero_gravi
                                   alu_add_i, alu_wait_i, bus_d_wait_i, ma_load_i, be_load_i, ma_store_i, be_store_i)
568 2 zero_gravi
    variable alu_immediate_v : std_ulogic;
569
    variable alu_operation_v : std_ulogic_vector(2 downto 0);
570
    variable rs1_is_r0_v     : std_ulogic;
571
  begin
572
    -- arbiter defaults --
573 6 zero_gravi
    execute_engine.state_nxt   <= execute_engine.state;
574
    execute_engine.i_reg_nxt   <= execute_engine.i_reg;
575
    execute_engine.is_jump_nxt <= '0';
576
    execute_engine.is_ci_nxt   <= execute_engine.is_ci;
577 13 zero_gravi
    execute_engine.pc_nxt      <= execute_engine.pc;
578 11 zero_gravi
    execute_engine.sleep_nxt   <= execute_engine.sleep;
579 2 zero_gravi
 
580 6 zero_gravi
    -- instruction dispatch --
581
    fetch_engine.reset         <= '0';
582
    ipb.re                     <= '0';
583 2 zero_gravi
 
584 6 zero_gravi
    -- trap environment control --
585
    trap_ctrl.env_start_ack    <= '0';
586
    trap_ctrl.env_end          <= '0';
587
 
588 2 zero_gravi
    -- exception trigger --
589 6 zero_gravi
    trap_ctrl.instr_be         <= '0';
590
    trap_ctrl.instr_ma         <= '0';
591
    trap_ctrl.env_call         <= '0';
592
    trap_ctrl.break_point      <= '0';
593 13 zero_gravi
    illegal_compressed         <= '0';
594 2 zero_gravi
 
595 6 zero_gravi
    -- CSR access --
596
    csr.we_nxt                 <= '0';
597
    csr.re_nxt                 <= '0';
598
 
599 2 zero_gravi
    -- control defaults --
600
    ctrl_nxt <= (others => '0'); -- all off at first
601 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
602
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation (SLTIU, SLTU)
603 2 zero_gravi
    else -- branches
604 6 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches (BLTU, BGEU)
605 2 zero_gravi
    end if;
606 12 zero_gravi
    ctrl_nxt(ctrl_bus_unsigned_c)  <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
607 13 zero_gravi
    ctrl_nxt(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
608
    ctrl_nxt(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
609 6 zero_gravi
    ctrl_nxt(ctrl_bus_size_lsb_c)  <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- transfer size lsb (00=byte, 01=half-word)
610
    ctrl_nxt(ctrl_bus_size_msb_c)  <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- transfer size msb (10=word, 11=?)
611
    ctrl_nxt(ctrl_cp_cmd2_c   downto ctrl_cp_cmd0_c)   <= execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c); -- CP operation
612 12 zero_gravi
    ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- only CP0 (MULDIV) implemented yet
613 2 zero_gravi
 
614
    -- is immediate operation? --
615
    alu_immediate_v := '0';
616 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') then
617 2 zero_gravi
      alu_immediate_v := '1';
618
    end if;
619
 
620 6 zero_gravi
    -- alu operation re-coding --
621
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
622 2 zero_gravi
      when funct3_subadd_c => -- SUB / ADD(I)
623 6 zero_gravi
        if (alu_immediate_v = '0') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1') then -- not immediate and funct7 = SUB
624 2 zero_gravi
          alu_operation_v := alu_cmd_sub_c;
625
        else
626
          alu_operation_v := alu_cmd_add_c;
627
        end if;
628
      when funct3_sll_c  => alu_operation_v := alu_cmd_shift_c; -- SLL(I)
629 6 zero_gravi
      when funct3_slt_c  => alu_operation_v := alu_cmd_slt_c;   -- SLT(I)
630
      when funct3_sltu_c => alu_operation_v := alu_cmd_slt_c;   -- SLTU(I)
631
      when funct3_xor_c  => alu_operation_v := alu_cmd_xor_c;   -- XOR(I)
632 2 zero_gravi
      when funct3_sr_c   => alu_operation_v := alu_cmd_shift_c; -- SRL(I) / SRA(I)
633 6 zero_gravi
      when funct3_or_c   => alu_operation_v := alu_cmd_or_c;    -- OR(I)
634
      when funct3_and_c  => alu_operation_v := alu_cmd_and_c;   -- AND(I)
635 3 zero_gravi
      when others        => alu_operation_v := (others => '0'); -- undefined
636 2 zero_gravi
    end case;
637
 
638
    -- is rs1 = r0? --
639
    rs1_is_r0_v := '0';
640 6 zero_gravi
    if (execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c) = "00000") then
641 2 zero_gravi
      rs1_is_r0_v := '1';
642
    end if;
643
 
644 6 zero_gravi
    -- state machine --
645
    case execute_engine.state is
646 2 zero_gravi
 
647 7 zero_gravi
      when SYS_WAIT => -- Delay cycle (used to wait for side effects to kick in)
648 2 zero_gravi
      -- ------------------------------------------------------------
649 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
650 2 zero_gravi
 
651 6 zero_gravi
       when DISPATCH => -- Get new command from instruction prefetch buffer (IPB)
652
       -- ------------------------------------------------------------
653 13 zero_gravi
        if (ipb.avail = '1') then -- instruction available?
654
          ipb.re <= '1';
655
          trap_ctrl.instr_ma <= ipb.rdata(33); -- misaligned instruction fetch address
656
          trap_ctrl.instr_be <= ipb.rdata(34); -- bus access fault druing instrucion fetch
657
          illegal_compressed <= ipb.rdata(35); -- invalid decompressed instruction
658 14 zero_gravi
          execute_engine.is_ci_nxt <= ipb.rdata(32); -- flag to indicate this is a compressed instruction beeing executed
659
          execute_engine.i_reg_nxt <= ipb.rdata(31 downto 0);
660
          execute_engine.pc_nxt    <= ipb.raddr; -- the PC according to the current instruction
661
          -- ipb.rdata(35) is not immediately checked here!
662
          if (execute_engine.sleep = '1') or (trap_ctrl.env_start = '1') or ((ipb.rdata(33) or ipb.rdata(34)) = '1') then
663 13 zero_gravi
            execute_engine.state_nxt <= TRAP;
664
          else
665 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
666 13 zero_gravi
          end if;
667
        end if;
668 2 zero_gravi
 
669 11 zero_gravi
      when TRAP => -- Start trap environment (also used as cpu sleep state)
670 2 zero_gravi
      -- ------------------------------------------------------------
671 11 zero_gravi
        fetch_engine.reset <= '1';
672 13 zero_gravi
        if (trap_ctrl.env_start = '1') then -- check here again if we came directly from DISPATCH
673 6 zero_gravi
          trap_ctrl.env_start_ack  <= '1';
674 13 zero_gravi
          execute_engine.pc_nxt    <= csr.mtvec;
675 11 zero_gravi
          execute_engine.sleep_nxt <= '0'; -- waky waky
676 7 zero_gravi
          execute_engine.state_nxt <= SYS_WAIT;
677 2 zero_gravi
        end if;
678
 
679 6 zero_gravi
      when EXECUTE => -- Decode and execute instruction
680 2 zero_gravi
      -- ------------------------------------------------------------
681 6 zero_gravi
        case execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) is
682 2 zero_gravi
 
683
          when opcode_alu_c | opcode_alui_c => -- ALU operation
684
          -- ------------------------------------------------------------
685
            ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '0'; -- use RS1 as ALU.OPA
686
            ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= alu_immediate_v; -- use IMM as ALU.OPB for immediate operations
687
            ctrl_nxt(ctrl_alu_opc_mux_c)     <= not alu_immediate_v;
688
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_operation_v; -- actual ALU operation
689
            ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "00"; -- RF input = ALU result
690 11 zero_gravi
            -- multi cycle alu operation? --
691
            if (alu_operation_v = alu_cmd_shift_c) or -- shift operation
692
               ((CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) = opcode_alu_c) and
693 12 zero_gravi
                (execute_engine.i_reg(instr_funct7_lsb_c) = '1')) then -- MULDIV?
694 6 zero_gravi
              execute_engine.state_nxt <= ALU_WAIT;
695 2 zero_gravi
            else
696
              ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
697 6 zero_gravi
              execute_engine.state_nxt <= DISPATCH;
698 2 zero_gravi
            end if;
699 11 zero_gravi
            -- cp access? --
700
            if (CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) = opcode_alu_c) and
701 12 zero_gravi
               (execute_engine.i_reg(instr_funct7_lsb_c) = '1') then -- MULDIV?
702 11 zero_gravi
              ctrl_nxt(ctrl_cp_use_c) <= '1'; -- use CP
703
            end if;
704 2 zero_gravi
 
705 11 zero_gravi
 
706 2 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate (add to PC)
707
          -- ------------------------------------------------------------
708 12 zero_gravi
            ctrl_nxt(ctrl_rf_clear_rs1_c) <= '1'; -- force RS1 = r0 (only relevant for LUI)
709 6 zero_gravi
            if (execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) = opcode_auipc_c) then -- AUIPC
710 2 zero_gravi
              ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '1'; -- use PC as ALU.OPA
711
            else -- LUI
712
              ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '0'; -- use RS1 as ALU.OPA
713
            end if;
714 6 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '1'; -- use IMM as ALU.OPB
715 2 zero_gravi
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_add_c; -- actual ALU operation
716
            ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "00"; -- RF input = ALU result
717
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
718 6 zero_gravi
            execute_engine.state_nxt <= DISPATCH;
719 2 zero_gravi
 
720
          when opcode_load_c | opcode_store_c => -- load/store
721
          -- ------------------------------------------------------------
722
            ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '0'; -- use RS1 as ALU.OPA
723
            ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '1'; -- use IMM as ALU.OPB
724
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_add_c; -- actual ALU operation
725 6 zero_gravi
            ctrl_nxt(ctrl_bus_mar_we_c) <= '1'; -- write to MAR
726
            ctrl_nxt(ctrl_bus_mdo_we_c) <= '1'; -- write to MDO (only relevant for stores)
727 12 zero_gravi
            execute_engine.state_nxt    <= LOADSTORE_0;
728 2 zero_gravi
 
729
          when opcode_branch_c => -- branch instruction
730
          -- ------------------------------------------------------------
731
            ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '1'; -- use PC as ALU.OPA
732
            ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '1'; -- use IMM as ALU.OPB
733 6 zero_gravi
            ctrl_nxt(ctrl_alu_opc_mux_c)     <= '1'; -- use RS2 as ALU.OPC
734
            execute_engine.state_nxt         <= BRANCH;
735 2 zero_gravi
 
736
          when opcode_jal_c | opcode_jalr_c => -- jump and link (with register)
737
          -- ------------------------------------------------------------
738
            -- compute target address --
739 6 zero_gravi
            if (execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) = opcode_jal_c) then -- JAL
740 2 zero_gravi
              ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '1'; -- use PC as ALU.OPA
741
            else -- JALR
742
              ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '0'; -- use RS1 as ALU.OPA
743
            end if;
744
            ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '1'; -- use IMM as ALU.OPB
745
            -- save return address --
746 13 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "10"; -- RF input = next PC (save return address)
747 2 zero_gravi
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
748 6 zero_gravi
            --
749
            execute_engine.is_jump_nxt <= '1'; -- this is a jump operation
750
            execute_engine.state_nxt   <= BRANCH;
751 2 zero_gravi
 
752 8 zero_gravi
          when opcode_fence_c => -- fence operations
753
          -- ------------------------------------------------------------
754 12 zero_gravi
            execute_engine.pc_nxt <= execute_engine.next_pc; -- "refetch" next instruction (only relevant for fencei)
755
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCEI
756
              fetch_engine.reset          <= '1';
757
              ctrl_nxt(ctrl_bus_fencei_c) <= '1';
758 8 zero_gravi
            end if;
759 12 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
760
              ctrl_nxt(ctrl_bus_fence_c) <= '1';
761
            end if;
762
            execute_engine.state_nxt <= SYS_WAIT;
763 8 zero_gravi
 
764 2 zero_gravi
          when opcode_syscsr_c => -- system/csr access
765
          -- ------------------------------------------------------------
766 15 zero_gravi
            csr.re_nxt <= csr_acc_valid; -- read CSR if valid access
767 6 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system
768
              case execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) is
769 11 zero_gravi
                when funct12_ecall_c => -- ECALL
770 6 zero_gravi
                  trap_ctrl.env_call <= '1';
771 11 zero_gravi
                when funct12_ebreak_c => -- EBREAK
772 6 zero_gravi
                  trap_ctrl.break_point <= '1';
773 11 zero_gravi
                when funct12_mret_c => -- MRET
774
                  trap_ctrl.env_end     <= '1';
775 13 zero_gravi
                  execute_engine.pc_nxt <= csr.mepc;
776 11 zero_gravi
                  fetch_engine.reset    <= '1';
777
                when funct12_wfi_c => -- WFI = "CPU sleep"
778
                  execute_engine.sleep_nxt <= '1'; -- good night
779 6 zero_gravi
                when others => -- undefined
780
                  NULL;
781 2 zero_gravi
              end case;
782 11 zero_gravi
              execute_engine.state_nxt <= SYS_WAIT;
783 13 zero_gravi
            else -- CSR access
784
              execute_engine.state_nxt <= CSR_ACCESS;
785 2 zero_gravi
            end if;
786
 
787
          when others => -- undefined
788
          -- ------------------------------------------------------------
789 6 zero_gravi
            execute_engine.state_nxt <= DISPATCH;
790 2 zero_gravi
 
791
        end case;
792
 
793
      when CSR_ACCESS => -- write CSR data to RF, write ALU.res to CSR
794
      -- ------------------------------------------------------------
795
        ctrl_nxt(ctrl_alu_opa_mux_msb_c) <= '0'; -- default
796
        ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '0'; -- default
797
        ctrl_nxt(ctrl_alu_opb_mux_msb_c) <= '0'; -- default
798
        ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '0'; -- default
799 12 zero_gravi
        ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_or_c; -- default ALU operation = OR
800 6 zero_gravi
        case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
801 7 zero_gravi
          -- register operations --
802 6 zero_gravi
          when funct3_csrrw_c => -- CSRRW
803 2 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '0'; -- OPA = rs1
804
            ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '0'; -- OPB = rs2
805
            ctrl_nxt(ctrl_rf_clear_rs2_c)    <= '1'; -- rs2 = 0
806 12 zero_gravi
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_or_c; -- actual ALU operation = OR
807 15 zero_gravi
            csr.we_nxt <= csr_acc_valid; -- always write CSR if valid access
808 6 zero_gravi
          when funct3_csrrs_c => -- CSRRS
809 2 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_msb_c) <= '1'; -- OPA = csr
810 12 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_msb_c) <= '1'; -- OPB = rs1
811 2 zero_gravi
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_or_c; -- actual ALU operation = OR
812 15 zero_gravi
            csr.we_nxt <= (not rs1_is_r0_v) and csr_acc_valid; -- write CSR if rs1 is not zero_reg and if valid access
813 6 zero_gravi
          when funct3_csrrc_c => -- CSRRC
814 2 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_msb_c) <= '1'; -- OPA = csr
815
            ctrl_nxt(ctrl_alu_opb_mux_msb_c) <= '1'; -- OPB = rs1
816
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_bitc_c; -- actual ALU operation = bit clear
817 15 zero_gravi
            csr.we_nxt <= (not rs1_is_r0_v) and csr_acc_valid; -- write CSR if rs1 is not zero_reg and if valid access
818 7 zero_gravi
          -- immediate operations --
819 6 zero_gravi
          when funct3_csrrwi_c => -- CSRRWI
820 2 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_lsb_c) <= '0'; -- OPA = rs1
821
            ctrl_nxt(ctrl_rf_clear_rs1_c)    <= '1'; -- rs1 = 0
822
            ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '1'; -- OPB = immediate
823 12 zero_gravi
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_or_c; -- actual ALU operation = OR
824 15 zero_gravi
            csr.we_nxt <= csr_acc_valid; -- always write CSR if valid access
825 6 zero_gravi
          when funct3_csrrsi_c => -- CSRRSI
826 2 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_msb_c) <= '1'; -- OPA = csr
827
            ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '1'; -- OPB = immediate
828
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_or_c; -- actual ALU operation = OR
829 15 zero_gravi
            csr.we_nxt <= (not rs1_is_r0_v) and csr_acc_valid; -- write CSR if UIMM5 is not zero (bits from rs1 filed) and if valid access
830 6 zero_gravi
          when funct3_csrrci_c => -- CSRRCI
831 2 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_msb_c) <= '1'; -- OPA = csr
832
            ctrl_nxt(ctrl_alu_opb_mux_lsb_c) <= '1'; -- OPB = immediate
833
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_bitc_c; -- actual ALU operation = bit clear
834 15 zero_gravi
            csr.we_nxt <= (not rs1_is_r0_v) and csr_acc_valid; -- write CSR if UIMM5 is not zero (bits from rs1 filed) and if valid access
835 2 zero_gravi
          when others => -- undefined
836
            NULL;
837
        end case;
838
        -- RF write back --
839 12 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output
840 2 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
841 11 zero_gravi
        execute_engine.state_nxt  <= DISPATCH; -- FIXME should be SYS_WAIT? have another cycle to let side-effects kick in
842 2 zero_gravi
 
843 6 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation to finish
844 2 zero_gravi
      -- ------------------------------------------------------------
845 6 zero_gravi
        ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_operation_v; -- actual ALU operation
846
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "00"; -- RF input = ALU result
847 12 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back (permanent write-back)
848 6 zero_gravi
        if (alu_wait_i = '0') then
849 12 zero_gravi
          execute_engine.state_nxt  <= DISPATCH;
850 2 zero_gravi
        end if;
851
 
852 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
853
      -- ------------------------------------------------------------
854 13 zero_gravi
        execute_engine.pc_nxt <= alu_add_i; -- branch/jump destination
855 6 zero_gravi
        if (execute_engine.is_jump = '1') or (execute_engine.branch_taken = '1') then
856 13 zero_gravi
          fetch_engine.reset       <= '1'; -- trigger new instruction fetch from modified PC
857 11 zero_gravi
          execute_engine.state_nxt <= SYS_WAIT;
858
        else
859
          execute_engine.state_nxt <= DISPATCH;
860 6 zero_gravi
        end if;
861
 
862 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
863 6 zero_gravi
      -- ------------------------------------------------------------
864 12 zero_gravi
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') then -- LOAD
865
          ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request
866
        else -- STORE
867
          ctrl_nxt(ctrl_bus_wr_c) <= '1'; -- write request
868
        end if;
869
        execute_engine.state_nxt <= LOADSTORE_1;
870 6 zero_gravi
 
871 12 zero_gravi
      when LOADSTORE_1 => -- memory latency
872 6 zero_gravi
      -- ------------------------------------------------------------
873
        ctrl_nxt(ctrl_bus_mdi_we_c) <= '1'; -- write input data to MDI (only relevant for LOAD)
874 12 zero_gravi
        execute_engine.state_nxt <= LOADSTORE_2;
875 6 zero_gravi
 
876 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
877 6 zero_gravi
      -- ------------------------------------------------------------
878
        ctrl_nxt(ctrl_bus_mdi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for LOAD)
879
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "01"; -- RF input = memory input (only relevant for LOAD)
880
        if (ma_load_i = '1') or (be_load_i = '1') or (ma_store_i = '1') or (be_store_i = '1') then -- abort if exception
881 7 zero_gravi
          execute_engine.state_nxt <= SYS_WAIT;
882 12 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait here for bus to finish transaction
883 6 zero_gravi
          if (execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) = opcode_load_c) then -- LOAD?
884
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
885
          end if;
886
          execute_engine.state_nxt <= DISPATCH;
887
        end if;
888
 
889 2 zero_gravi
      when others => -- undefined
890
      -- ------------------------------------------------------------
891 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
892 2 zero_gravi
 
893
    end case;
894 6 zero_gravi
  end process execute_engine_fsm_comb;
895 2 zero_gravi
 
896
 
897 15 zero_gravi
-- ****************************************************************************************************************************
898
-- Invalid Instruction / CSR access check
899
-- ****************************************************************************************************************************
900
 
901
 
902
  -- Illegal CSR Access Check ---------------------------------------------------------------
903
  -- -------------------------------------------------------------------------------------------
904
  invalid_csr_access_check: process(execute_engine, csr)
905
    variable is_m_mode_v : std_ulogic;
906
  begin
907
    -- are we in machine mode? --
908
    is_m_mode_v := '0';
909
    if (csr.privilege = m_priv_mode_c) then
910
      is_m_mode_v := '1';
911
    end if;
912
 
913
    -- check CSR access --
914
    csr_acc_valid <= '0'; -- default
915
    case execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) is
916
      when x"300" => csr_acc_valid <= is_m_mode_v; -- mstatus
917
      when x"301" => csr_acc_valid <= is_m_mode_v; -- misa
918
      when x"304" => csr_acc_valid <= is_m_mode_v; -- mie
919
      when x"305" => csr_acc_valid <= is_m_mode_v; -- mtvev
920
      when x"340" => csr_acc_valid <= is_m_mode_v; -- mscratch
921
      when x"341" => csr_acc_valid <= is_m_mode_v; -- mepc
922
      when x"342" => csr_acc_valid <= is_m_mode_v; -- mcause
923
      when x"343" => csr_acc_valid <= is_m_mode_v; -- mtval
924
      when x"344" => csr_acc_valid <= is_m_mode_v; -- mip
925
      --
926
      when x"3a0" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  1)) and is_m_mode_v; -- pmpacfg0
927
      when x"3a1" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  5)) and is_m_mode_v; -- pmpacfg1
928
      --
929
      when x"3b0" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  1)) and is_m_mode_v; -- pmpaddr0
930
      when x"3b1" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  2)) and is_m_mode_v; -- pmpaddr1
931
      when x"3b2" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  3)) and is_m_mode_v; -- pmpaddr2
932
      when x"3b3" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  4)) and is_m_mode_v; -- pmpaddr3
933
      when x"3b4" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  5)) and is_m_mode_v; -- pmpaddr4
934
      when x"3b5" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  6)) and is_m_mode_v; -- pmpaddr5
935
      when x"3b6" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  7)) and is_m_mode_v; -- pmpaddr6
936
      when x"3b7" => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >=  8)) and is_m_mode_v; -- pmpaddr7
937
      --
938
      when x"c00" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE); -- cycle
939
      when x"c01" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE); -- time
940
      when x"c02" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE); -- instret
941
      when x"c80" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE); -- cycleh
942
      when x"c81" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE); -- timeh
943
      when x"c82" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE); -- instreth
944
      --
945
      when x"b00" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE) and is_m_mode_v; -- mcycle
946
      when x"b02" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE) and is_m_mode_v; -- minstret
947
      when x"b80" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE) and is_m_mode_v; -- mcycleh
948
      when x"b82" => csr_acc_valid <= bool_to_ulogic_f(CSR_COUNTERS_USE) and is_m_mode_v; -- minstreth
949
      --
950
      when x"f11" => csr_acc_valid <= is_m_mode_v; -- mvendorid
951
      when x"f12" => csr_acc_valid <= is_m_mode_v; -- marchid
952
      when x"f13" => csr_acc_valid <= is_m_mode_v; -- mimpid
953
      when x"f14" => csr_acc_valid <= is_m_mode_v; -- mhartid
954
      --
955
      when others => csr_acc_valid <= '0'; -- undefined
956
    end case;
957
  end process invalid_csr_access_check;
958
 
959
 
960 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
961
  -- -------------------------------------------------------------------------------------------
962 15 zero_gravi
  illegal_instruction_check: process(execute_engine, csr, ctrl_nxt, csr_acc_valid)
963 2 zero_gravi
  begin
964 11 zero_gravi
    -- illegal instructions are checked in the EXECUTE stage
965
    -- the execute engine will only commit valid instructions
966 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
967 2 zero_gravi
      -- defaults --
968
      illegal_instruction <= '0';
969
      illegal_register    <= '0';
970
 
971
      -- check if using reg >= 16 for E-CPUs --
972 13 zero_gravi
      --if (CPU_EXTENSION_RISCV_E = true) then
973
      --  illegal_register <= ????? FIXME
974
      --else
975
      --  illegal_register <= '0';
976
      --end if;
977 2 zero_gravi
 
978
      -- check instructions --
979 6 zero_gravi
      case execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) is
980 2 zero_gravi
 
981
        -- OPCODE check sufficient: LUI, UIPC, JAL --
982
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c =>
983
          illegal_instruction <= '0';
984
 
985
        when opcode_alui_c => -- check ALUI funct7
986 6 zero_gravi
          if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and
987
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000")) or -- shift logical left
988
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and
989
              ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
990
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000"))) then -- shift right
991 2 zero_gravi
            illegal_instruction <= '1';
992
          else
993
            illegal_instruction <= '0';
994
          end if;
995
 
996
        when opcode_load_c => -- check LOAD funct3
997 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
998
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
999
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1000
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1001
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1002 2 zero_gravi
            illegal_instruction <= '0';
1003
          else
1004
            illegal_instruction <= '1';
1005
          end if;
1006
 
1007
        when opcode_store_c => -- check STORE funct3
1008 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1009
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1010
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1011 2 zero_gravi
            illegal_instruction <= '0';
1012
          else
1013
            illegal_instruction <= '1';
1014
          end if;
1015
 
1016
        when opcode_branch_c => -- check BRANCH funct3
1017 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1018
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1019
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1020
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1021
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1022
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1023 2 zero_gravi
            illegal_instruction <= '0';
1024
          else
1025
            illegal_instruction <= '1';
1026
          end if;
1027
 
1028
        when opcode_jalr_c => -- check JALR funct3
1029 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1030 2 zero_gravi
            illegal_instruction <= '0';
1031
          else
1032
            illegal_instruction <= '1';
1033
          end if;
1034
 
1035
        when opcode_alu_c => -- check ALU funct3 & funct7
1036 6 zero_gravi
          if (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then -- MULDIV
1037 11 zero_gravi
            if (CPU_EXTENSION_RISCV_M = false) then -- not implemented
1038 2 zero_gravi
              illegal_instruction <= '1';
1039
            end if;
1040 6 zero_gravi
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1041
                 (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and -- ADD/SUB or SRA/SRL check
1042
                ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1043
                 (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000")) then -- ADD/SUB or SRA/SRL select
1044 2 zero_gravi
            illegal_instruction <= '1';
1045
          else
1046
            illegal_instruction <= '0';
1047
          end if;
1048
 
1049 8 zero_gravi
        when opcode_fence_c => -- fence instructions --
1050
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCE.I
1051
            illegal_instruction <= '0';
1052
          elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1053
            illegal_instruction <= '0';
1054
          else
1055
            illegal_instruction <= '1';
1056
          end if;
1057
 
1058 2 zero_gravi
        when opcode_syscsr_c => -- check system instructions --
1059
          -- CSR access --
1060 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1061
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1062
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1063
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1064
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1065
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c) then
1066 15 zero_gravi
            -- valid CSR access? --
1067
            if (csr_acc_valid = '1') then
1068 2 zero_gravi
              illegal_instruction <= '0';
1069
            else
1070
              illegal_instruction <= '1';
1071
            end if;
1072
 
1073
          -- ecall, ebreak, mret, wfi --
1074 6 zero_gravi
          elsif (execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c)  = "00000") and
1075
                (execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c) = "00000") then
1076 13 zero_gravi
            if (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1077 11 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1078 13 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_mret_c)   or -- MRET
1079
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_wfi_c) then  -- WFI
1080 2 zero_gravi
              illegal_instruction <= '0';
1081
            else
1082
              illegal_instruction <= '1';
1083
            end if;
1084
          else
1085
            illegal_instruction <= '1';
1086
          end if;
1087
 
1088
        when others => -- compressed instruction or undefined instruction
1089 6 zero_gravi
          if (execute_engine.i_reg(1 downto 0) = "11") then -- undefined/unimplemented opcode
1090 2 zero_gravi
            illegal_instruction <= '1';
1091
          end if;
1092
 
1093
      end case;
1094
    else
1095
      illegal_instruction <= '0';
1096
      illegal_register    <= '0';
1097
    end if;
1098
  end process illegal_instruction_check;
1099
 
1100
  -- any illegal condition? --
1101 6 zero_gravi
  trap_ctrl.instr_il <= illegal_instruction or illegal_register or illegal_compressed;
1102 2 zero_gravi
 
1103
 
1104 6 zero_gravi
-- ****************************************************************************************************************************
1105
-- Exception and Interrupt Control
1106
-- ****************************************************************************************************************************
1107 2 zero_gravi
 
1108
 
1109 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1110 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1111 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1112 2 zero_gravi
  begin
1113
    if (rstn_i = '0') then
1114 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1115
      trap_ctrl.irq_buf   <= (others => '0');
1116
      trap_ctrl.exc_ack   <= '0';
1117
      trap_ctrl.irq_ack   <= (others => '0');
1118
      trap_ctrl.cause     <= (others => '0');
1119
      trap_ctrl.env_start <= '0';
1120 2 zero_gravi
    elsif rising_edge(clk_i) then
1121
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1122
        -- exception buffer: misaligned load/store/instruction address
1123 6 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c)    <= (trap_ctrl.exc_buf(exception_lalign_c)    or ma_load_i)             and (not trap_ctrl.exc_ack);
1124
        trap_ctrl.exc_buf(exception_salign_c)    <= (trap_ctrl.exc_buf(exception_salign_c)    or ma_store_i)            and (not trap_ctrl.exc_ack);
1125
        trap_ctrl.exc_buf(exception_ialign_c)    <= (trap_ctrl.exc_buf(exception_ialign_c)    or trap_ctrl.instr_ma)    and (not trap_ctrl.exc_ack);
1126 2 zero_gravi
        -- exception buffer: load/store/instruction bus access error
1127 6 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c)   <= (trap_ctrl.exc_buf(exception_laccess_c)   or be_load_i)             and (not trap_ctrl.exc_ack);
1128
        trap_ctrl.exc_buf(exception_saccess_c)   <= (trap_ctrl.exc_buf(exception_saccess_c)   or be_store_i)            and (not trap_ctrl.exc_ack);
1129
        trap_ctrl.exc_buf(exception_iaccess_c)   <= (trap_ctrl.exc_buf(exception_iaccess_c)   or trap_ctrl.instr_be)    and (not trap_ctrl.exc_ack);
1130 2 zero_gravi
        -- exception buffer: illegal instruction / env call / break point
1131 6 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or trap_ctrl.env_call)    and (not trap_ctrl.exc_ack);
1132
        trap_ctrl.exc_buf(exception_break_c)     <= (trap_ctrl.exc_buf(exception_break_c)     or trap_ctrl.break_point) and (not trap_ctrl.exc_ack);
1133
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)    and (not trap_ctrl.exc_ack);
1134 14 zero_gravi
        -- interrupt buffer (RISC-V compliant): machine software/external/timer interrupt
1135
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and (trap_ctrl.irq_buf(interrupt_msw_irq_c)   or msw_irq_i)   and (not trap_ctrl.irq_ack(interrupt_msw_irq_c));
1136
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and (trap_ctrl.irq_buf(interrupt_mext_irq_c)  or mext_irq_i)  and (not trap_ctrl.irq_ack(interrupt_mext_irq_c));
1137
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and (trap_ctrl.irq_buf(interrupt_mtime_irq_c) or mtime_irq_i) and (not trap_ctrl.irq_ack(interrupt_mtime_irq_c));
1138
        -- interrupt buffer (custom): fast interrupts
1139
        trap_ctrl.irq_buf(interrupt_firq_0_c)    <= csr.mie_firqe(0) and (trap_ctrl.irq_buf(interrupt_firq_0_c) or firq_i(0)) and (not trap_ctrl.irq_ack(interrupt_firq_0_c));
1140
        trap_ctrl.irq_buf(interrupt_firq_1_c)    <= csr.mie_firqe(1) and (trap_ctrl.irq_buf(interrupt_firq_1_c) or firq_i(1)) and (not trap_ctrl.irq_ack(interrupt_firq_1_c));
1141
        trap_ctrl.irq_buf(interrupt_firq_2_c)    <= csr.mie_firqe(2) and (trap_ctrl.irq_buf(interrupt_firq_2_c) or firq_i(2)) and (not trap_ctrl.irq_ack(interrupt_firq_2_c));
1142
        trap_ctrl.irq_buf(interrupt_firq_3_c)    <= csr.mie_firqe(3) and (trap_ctrl.irq_buf(interrupt_firq_3_c) or firq_i(3)) and (not trap_ctrl.irq_ack(interrupt_firq_3_c));
1143 2 zero_gravi
 
1144 6 zero_gravi
        -- trap control --
1145
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1146 11 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- exception/IRQ detected!
1147 13 zero_gravi
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP))) then -- sample IRQs in EXECUTE or TRAP state only -> continue execution even if permanent IRQ
1148
            trap_ctrl.cause     <= trap_ctrl.cause_nxt;   -- capture source ID for program (for mcause csr)
1149 7 zero_gravi
            trap_ctrl.exc_ack   <= '1';                   -- clear execption
1150
            trap_ctrl.irq_ack   <= trap_ctrl.irq_ack_nxt; -- capture and clear with interrupt ACK mask
1151 13 zero_gravi
            trap_ctrl.env_start <= '1';                   -- now execute engine can start trap handler
1152 2 zero_gravi
          end if;
1153 6 zero_gravi
        else -- trap waiting to get started
1154
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1155
            trap_ctrl.exc_ack   <= '0';
1156
            trap_ctrl.irq_ack   <= (others => '0');
1157
            trap_ctrl.env_start <= '0';
1158 2 zero_gravi
          end if;
1159
        end if;
1160
      end if;
1161
    end if;
1162 6 zero_gravi
  end process trap_controller;
1163 2 zero_gravi
 
1164
  -- any exception/interrupt? --
1165 13 zero_gravi
  trap_ctrl.exc_fire <= or_all_f(trap_ctrl.exc_buf); -- exceptions/faults cannot be masked
1166
  trap_ctrl.irq_fire <= or_all_f(trap_ctrl.irq_buf) and csr.mstatus_mie; -- interrupts can be masked
1167 2 zero_gravi
 
1168
 
1169 6 zero_gravi
  -- Trap Priority Detector -----------------------------------------------------------------
1170
  -- -------------------------------------------------------------------------------------------
1171
  trap_priority: process(trap_ctrl)
1172 2 zero_gravi
  begin
1173
    -- defaults --
1174 6 zero_gravi
    trap_ctrl.cause_nxt   <= (others => '0');
1175
    trap_ctrl.irq_ack_nxt <= (others => '0');
1176 2 zero_gravi
 
1177 9 zero_gravi
    -- the following traps are caused by asynchronous exceptions (-> interrupts)
1178 12 zero_gravi
    -- here we do need a specific acknowledge mask since several sources can trigger at once
1179 9 zero_gravi
 
1180 2 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1181 6 zero_gravi
    if (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1182 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mei_c;
1183 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mext_irq_c) <= '1';
1184 2 zero_gravi
 
1185
    -- interrupt: 1.7 machine timer interrupt --
1186 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then
1187 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mti_c;
1188 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mtime_irq_c) <= '1';
1189 2 zero_gravi
 
1190
    -- interrupt: 1.3 machine SW interrupt --
1191 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1192 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_msi_c;
1193 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_msw_irq_c) <= '1';
1194 2 zero_gravi
 
1195
 
1196 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1197
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1198
      trap_ctrl.cause_nxt <= trap_firq0_c;
1199
      trap_ctrl.irq_ack_nxt(interrupt_firq_0_c) <= '1';
1200
 
1201
    -- interrupt: 1.17 fast interrupt channel 1 --
1202
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1203
      trap_ctrl.cause_nxt <= trap_firq1_c;
1204
      trap_ctrl.irq_ack_nxt(interrupt_firq_1_c) <= '1';
1205
 
1206
    -- interrupt: 1.18 fast interrupt channel 2 --
1207
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1208
      trap_ctrl.cause_nxt <= trap_firq2_c;
1209
      trap_ctrl.irq_ack_nxt(interrupt_firq_2_c) <= '1';
1210
 
1211
    -- interrupt: 1.19 fast interrupt channel 3 --
1212
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1213
      trap_ctrl.cause_nxt <= trap_firq3_c;
1214
      trap_ctrl.irq_ack_nxt(interrupt_firq_3_c) <= '1';
1215
 
1216
 
1217 4 zero_gravi
    -- the following traps are caused by synchronous exceptions
1218 12 zero_gravi
    -- here we do not need a specific acknowledge mask since only one exception (the one
1219 9 zero_gravi
    -- with highest priority) can trigger at once
1220 4 zero_gravi
 
1221 2 zero_gravi
    -- trap/fault: 0.1 instruction access fault --
1222 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1223 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iba_c;
1224 2 zero_gravi
 
1225
    -- trap/fault: 0.2 illegal instruction --
1226 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1227 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iil_c;
1228 2 zero_gravi
 
1229 12 zero_gravi
    -- trap/fault: 0.0 instruction address misaligned --
1230
    elsif (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1231
      trap_ctrl.cause_nxt <= trap_ima_c;
1232 2 zero_gravi
 
1233 12 zero_gravi
 
1234 2 zero_gravi
    -- trap/fault: 0.11 environment call from M-mode --
1235 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1236 14 zero_gravi
      trap_ctrl.cause_nxt <= trap_menv_c;
1237 2 zero_gravi
 
1238
    -- trap/fault: 0.3 breakpoint --
1239 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1240 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_brk_c;
1241 2 zero_gravi
 
1242
 
1243
    -- trap/fault: 0.6 store address misaligned -
1244 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1245 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sma_c;
1246 2 zero_gravi
 
1247
    -- trap/fault: 0.4 load address misaligned --
1248 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1249 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lma_c;
1250 2 zero_gravi
 
1251
    -- trap/fault: 0.7 store access fault --
1252 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1253 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sbe_c;
1254 2 zero_gravi
 
1255
    -- trap/fault: 0.5 load access fault --
1256 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1257 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lbe_c;
1258 2 zero_gravi
 
1259
    -- undefined / not implemented --
1260
    else
1261 6 zero_gravi
      trap_ctrl.cause_nxt   <= (others => '0');
1262
      trap_ctrl.irq_ack_nxt <= (others => '0');
1263 2 zero_gravi
    end if;
1264 6 zero_gravi
  end process trap_priority;
1265
 
1266 2 zero_gravi
 
1267 6 zero_gravi
-- ****************************************************************************************************************************
1268
-- Control and Status Registers (CSRs)
1269
-- ****************************************************************************************************************************
1270 2 zero_gravi
 
1271
  -- Control and Status Registers Write Access ----------------------------------------------
1272
  -- -------------------------------------------------------------------------------------------
1273
  csr_write_access: process(rstn_i, clk_i)
1274
  begin
1275
    if (rstn_i = '0') then
1276 11 zero_gravi
      csr.we <= '0';
1277
      csr.re <= '0';
1278
      --
1279 6 zero_gravi
      csr.mstatus_mie  <= '0';
1280
      csr.mstatus_mpie <= '0';
1281
      csr.mie_msie     <= '0';
1282
      csr.mie_meie     <= '0';
1283
      csr.mie_mtie     <= '0';
1284 14 zero_gravi
      csr.mie_firqe    <= (others => '0');
1285 6 zero_gravi
      csr.mtvec        <= (others => '0');
1286 12 zero_gravi
      csr.mscratch     <= (others => '0');
1287
      csr.mepc         <= (others => '0');
1288
      csr.mcause       <= (others => '0');
1289 6 zero_gravi
      csr.mtval        <= (others => '0');
1290 15 zero_gravi
      csr.mpp          <= m_priv_mode_c; -- start in MACHINE mode
1291
      csr.privilege    <= m_priv_mode_c; -- start in MACHINE mode
1292
      csr.pmpcfg       <= (others => (others => '0'));
1293
      csr.pmpaddr      <= (others => (others => '0'));
1294 2 zero_gravi
    elsif rising_edge(clk_i) then
1295
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1296 11 zero_gravi
        -- access --
1297
        csr.we <= csr.we_nxt;
1298
        csr.re <= csr.re_nxt;
1299
 
1300
        -- registers that can be modified by user --
1301 6 zero_gravi
        if (csr.we = '1') then -- manual update
1302 4 zero_gravi
 
1303 15 zero_gravi
          -- Machine CSRs --
1304 11 zero_gravi
          if (execute_engine.i_reg(31 downto 28) = x"3") then
1305
            -- machine trap setup --
1306
            if (execute_engine.i_reg(27 downto 24) = x"0") then
1307
              case execute_engine.i_reg(23 downto 20) is
1308 12 zero_gravi
                when x"0" => -- R/W: mstatus - machine status register
1309
                  csr.mstatus_mie  <= csr_wdata_i(03);
1310
                  csr.mstatus_mpie <= csr_wdata_i(07);
1311 15 zero_gravi
                  --
1312
                  if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
1313
                    csr.mpp(0) <= csr_wdata_i(11) and csr_wdata_i(12);
1314
                    csr.mpp(1) <= csr_wdata_i(11) and csr_wdata_i(12);
1315
                  end if;
1316 12 zero_gravi
                when x"4" => -- R/W: mie - machine interrupt-enable register
1317 14 zero_gravi
                  csr.mie_msie <= csr_wdata_i(03); -- machine SW IRQ enable
1318
                  csr.mie_mtie <= csr_wdata_i(07); -- machine TIMER IRQ enable
1319
                  csr.mie_meie <= csr_wdata_i(11); -- machine EXT IRQ enable
1320
                  --
1321
                  csr.mie_firqe(0) <= csr_wdata_i(16); -- fast interrupt channel 0
1322
                  csr.mie_firqe(1) <= csr_wdata_i(17); -- fast interrupt channel 1
1323
                  csr.mie_firqe(2) <= csr_wdata_i(18); -- fast interrupt channel 2
1324
                  csr.mie_firqe(3) <= csr_wdata_i(19); -- fast interrupt channel 3
1325 12 zero_gravi
                when x"5" => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1326
                  csr.mtvec <= csr_wdata_i(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1327
                when others =>
1328
                  NULL;
1329 11 zero_gravi
              end case;
1330 4 zero_gravi
            end if;
1331 11 zero_gravi
            -- machine trap handling --
1332
            if (execute_engine.i_reg(27 downto 24) = x"4") then
1333
              case execute_engine.i_reg(23 downto 20) is
1334
                when x"0" => -- R/W: mscratch - machine scratch register
1335
                  csr.mscratch <= csr_wdata_i;
1336
                when x"1" => -- R/W: mepc - machine exception program counter
1337 12 zero_gravi
                  csr.mepc <= csr_wdata_i(data_width_c-1 downto 1) & '0';
1338 11 zero_gravi
                when x"3" => -- R/W: mtval - machine bad address or instruction
1339
                  csr.mtval <= csr_wdata_i;
1340
                when others =>
1341
                  NULL;
1342
              end case;
1343 4 zero_gravi
            end if;
1344 15 zero_gravi
            -- machine physical memory protection (pmp) --
1345
            if (PMP_USE = true) then
1346
              -- pmpcfg --
1347
              if (execute_engine.i_reg(27 downto 24) = x"a") then
1348
                if (PMP_NUM_REGIONS >= 1) then
1349
                  if (execute_engine.i_reg(23 downto 20) = x"0") then -- pmpcfg0
1350
                    for j in 0 to 3 loop -- bytes in pmpcfg CSR
1351
                      if ((j+1) <= PMP_NUM_REGIONS) then
1352
                        if (csr.pmpcfg(0+j)(7) = '0') then -- unlocked pmpcfg access
1353
                          csr.pmpcfg(0+j)(0) <= csr_wdata_i(j*8+0); -- R
1354
                          csr.pmpcfg(0+j)(1) <= csr_wdata_i(j*8+1); -- W
1355
                          csr.pmpcfg(0+j)(2) <= csr_wdata_i(j*8+2); -- X
1356
                          csr.pmpcfg(0+j)(3) <= csr_wdata_i(j*8+3) and csr_wdata_i(j*8+4); -- A_L
1357
                          csr.pmpcfg(0+j)(4) <= csr_wdata_i(j*8+3) and csr_wdata_i(j*8+4); -- A_H - NAPOT/OFF only
1358
                          csr.pmpcfg(0+j)(5) <= '0'; -- reserved
1359
                          csr.pmpcfg(0+j)(6) <= '0'; -- reserved
1360
                          csr.pmpcfg(0+j)(7) <= csr_wdata_i(j*8+7); -- L
1361
                        end if;
1362
                      end if;
1363
                    end loop; -- j (bytes in CSR)
1364
                  end if;
1365
                end if;
1366
                if (PMP_NUM_REGIONS >= 5) then
1367
                  if (execute_engine.i_reg(23 downto 20) = x"1") then -- pmpcfg1
1368
                    for j in 0 to 3 loop -- bytes in pmpcfg CSR
1369
                      if ((j+1+4) <= PMP_NUM_REGIONS) then
1370
                        if (csr.pmpcfg(4+j)(7) = '0') then -- unlocked pmpcfg access
1371
                          csr.pmpcfg(4+j)(0) <= csr_wdata_i(j*8+0); -- R
1372
                          csr.pmpcfg(4+j)(1) <= csr_wdata_i(j*8+1); -- W
1373
                          csr.pmpcfg(4+j)(2) <= csr_wdata_i(j*8+2); -- X
1374
                          csr.pmpcfg(4+j)(3) <= csr_wdata_i(j*8+3) and csr_wdata_i(j*8+4); -- A_L
1375
                          csr.pmpcfg(4+j)(4) <= csr_wdata_i(j*8+3) and csr_wdata_i(j*8+4); -- A_H - NAPOT/OFF only
1376
                          csr.pmpcfg(4+j)(5) <= '0'; -- reserved
1377
                          csr.pmpcfg(4+j)(6) <= '0'; -- reserved
1378
                          csr.pmpcfg(4+j)(7) <= csr_wdata_i(j*8+7); -- L
1379
                        end if;
1380
                      end if;
1381
                    end loop; -- j (bytes in CSR)
1382
                  end if;
1383
                end if;
1384
              end if;
1385
              -- pmpaddr --
1386
              if (execute_engine.i_reg(27 downto 24) = x"b") then
1387
                for i in 0 to PMP_NUM_REGIONS-1 loop
1388
                  if (execute_engine.i_reg(23 downto 20) = std_ulogic_vector(to_unsigned(i, 4))) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
1389
                    csr.pmpaddr(i) <= csr_wdata_i;
1390
                  end if;
1391
                end loop; -- i (CSRs)
1392
              end if;
1393
            end if; -- implement PMP at all?
1394 4 zero_gravi
          end if;
1395
 
1396 11 zero_gravi
        -- automatic update by hardware --
1397
        else
1398 2 zero_gravi
 
1399 14 zero_gravi
          -- machine exception PC & machine trap value register --
1400 12 zero_gravi
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
1401 14 zero_gravi
            csr.mcause <= trap_ctrl.cause(trap_ctrl.cause'left) & "000" & x"00000" & "000" & trap_ctrl.cause(4 downto 0);
1402
            if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS only (is mcause(31))
1403 6 zero_gravi
              csr.mepc  <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
1404 12 zero_gravi
              csr.mtval <= (others => '0'); -- mtval is zero for interrupts
1405 9 zero_gravi
            else -- for EXCEPTIONS (according to their priority)
1406 6 zero_gravi
              csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
1407 14 zero_gravi
              if (trap_ctrl.cause(4 downto 0) = trap_iba_c(4 downto 0)) or -- instr access error OR
1408
                 (trap_ctrl.cause(4 downto 0) = trap_ima_c(4 downto 0)) or -- misaligned instruction OR
1409
                 (trap_ctrl.cause(4 downto 0) = trap_brk_c(4 downto 0)) or -- breakpoint OR
1410
                 (trap_ctrl.cause(4 downto 0) = trap_menv_c(4 downto 0)) then -- env call OR
1411 9 zero_gravi
                csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
1412 14 zero_gravi
              elsif (trap_ctrl.cause(4 downto 0) = trap_iil_c(4 downto 0)) then -- illegal instruction
1413 12 zero_gravi
                csr.mtval <= execute_engine.i_reg; -- faulting instruction itself
1414
              else -- load/store misalignments/access errors
1415 9 zero_gravi
                csr.mtval <= mar_i; -- faulting data access address
1416 2 zero_gravi
              end if;
1417
            end if;
1418
          end if;
1419
 
1420
          -- context switch in mstatus --
1421 15 zero_gravi
          if (trap_ctrl.env_start_ack = '1') then -- ENTER: trap handler starting?
1422
            csr.mstatus_mie  <= '0'; -- disable interrupts
1423
            csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
1424
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1425
              csr.privilege <= m_priv_mode_c; -- execute trap in machine mode
1426
              csr.mpp       <= csr.privilege; -- buffer previous privilege mode
1427 2 zero_gravi
            end if;
1428 15 zero_gravi
          elsif (trap_ctrl.env_end = '1') then -- EXIT: return from exception
1429
            csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
1430
            csr.mstatus_mpie <= '1';
1431
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1432
              csr.privilege <= csr.mpp; -- go back to previous privilege mode
1433
              csr.mpp       <= u_priv_mode_c;
1434
            end if;
1435 2 zero_gravi
          end if;
1436 9 zero_gravi
 
1437 15 zero_gravi
          -- user mode NOT implemented --
1438
          if (CPU_EXTENSION_RISCV_U = false) then -- implement user mode
1439
            csr.privilege <= m_priv_mode_c;
1440
            csr.mpp       <= m_priv_mode_c;
1441
          end if;
1442 2 zero_gravi
        end if;
1443
      end if;
1444
    end if;
1445
  end process csr_write_access;
1446
 
1447
 
1448
  -- Control and Status Registers Read Access -----------------------------------------------
1449
  -- -------------------------------------------------------------------------------------------
1450
  csr_read_access: process(clk_i)
1451
  begin
1452
    if rising_edge(clk_i) then
1453
      csr_rdata_o <= (others => '0'); -- default
1454 11 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.re = '1') then
1455
        case execute_engine.i_reg(31 downto 20) is
1456
 
1457
          -- machine trap setup --
1458
          when x"300" => -- R/W: mstatus - machine status register
1459 15 zero_gravi
            csr_rdata_o(03) <= csr.mstatus_mie;  -- MIE
1460 11 zero_gravi
            csr_rdata_o(07) <= csr.mstatus_mpie; -- MPIE
1461 15 zero_gravi
            csr_rdata_o(11) <= csr.mpp(0); -- MPP: machine previous privilege mode low
1462
            csr_rdata_o(12) <= csr.mpp(1); -- MPP: machine previous privilege mode high
1463 11 zero_gravi
          when x"301" => -- R/-: misa - ISA and extensions
1464
            csr_rdata_o(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
1465
            csr_rdata_o(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
1466
            csr_rdata_o(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
1467
            csr_rdata_o(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
1468 15 zero_gravi
            csr_rdata_o(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
1469 14 zero_gravi
            csr_rdata_o(23) <= '1';                                         -- X CPU extension (non-std extensions)
1470 11 zero_gravi
            csr_rdata_o(25) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr) and bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- Z CPU extension
1471
            csr_rdata_o(30) <= '1'; -- 32-bit architecture (MXL lo)
1472
            csr_rdata_o(31) <= '0'; -- 32-bit architecture (MXL hi)
1473
          when x"304" => -- R/W: mie - machine interrupt-enable register
1474 14 zero_gravi
            csr_rdata_o(03) <= csr.mie_msie; -- machine software IRQ enable
1475
            csr_rdata_o(07) <= csr.mie_mtie; -- machine timer IRQ enable
1476
            csr_rdata_o(11) <= csr.mie_meie; -- machine external IRQ enable
1477
            --
1478
            csr_rdata_o(16) <= csr.mie_firqe(0); -- fast interrupt channel 0
1479
            csr_rdata_o(17) <= csr.mie_firqe(1); -- fast interrupt channel 1
1480
            csr_rdata_o(18) <= csr.mie_firqe(2); -- fast interrupt channel 2
1481
            csr_rdata_o(19) <= csr.mie_firqe(3); -- fast interrupt channel 3
1482 11 zero_gravi
          when x"305" => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1483 12 zero_gravi
            csr_rdata_o <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1484 11 zero_gravi
 
1485
          -- machine trap handling --
1486
          when x"340" => -- R/W: mscratch - machine scratch register
1487
            csr_rdata_o <= csr.mscratch;
1488
          when x"341" => -- R/W: mepc - machine exception program counter
1489 12 zero_gravi
            csr_rdata_o <= csr.mepc(data_width_c-1 downto 1) & '0';
1490 14 zero_gravi
          when x"342" => -- R/-: mcause - machine trap cause
1491 11 zero_gravi
            csr_rdata_o <= csr.mcause;
1492
          when x"343" => -- R/W: mtval - machine bad address or instruction
1493
            csr_rdata_o <= csr.mtval;
1494
          when x"344" => -- R/W: mip - machine interrupt pending
1495
            csr_rdata_o(03) <= trap_ctrl.irq_buf(interrupt_msw_irq_c);
1496
            csr_rdata_o(07) <= trap_ctrl.irq_buf(interrupt_mtime_irq_c);
1497
            csr_rdata_o(11) <= trap_ctrl.irq_buf(interrupt_mext_irq_c);
1498 14 zero_gravi
            --
1499
            csr_rdata_o(16) <= trap_ctrl.irq_buf(interrupt_firq_0_c);
1500
            csr_rdata_o(17) <= trap_ctrl.irq_buf(interrupt_firq_1_c);
1501
            csr_rdata_o(18) <= trap_ctrl.irq_buf(interrupt_firq_2_c);
1502
            csr_rdata_o(19) <= trap_ctrl.irq_buf(interrupt_firq_3_c);
1503 11 zero_gravi
 
1504 15 zero_gravi
          -- physical memory protection --
1505
          when x"3a0" => -- R/W: pmpcfg0 - physical memory protection configuration register 0
1506
            if (PMP_USE = true) then
1507
              if (PMP_NUM_REGIONS >= 1) then
1508
                csr_rdata_o(07 downto 00) <= csr.pmpcfg(0);
1509
              end if;
1510
              if (PMP_NUM_REGIONS >= 2) then
1511
                csr_rdata_o(15 downto 08) <= csr.pmpcfg(1);
1512
              end if;
1513
              if (PMP_NUM_REGIONS >= 3) then
1514
                csr_rdata_o(23 downto 16) <= csr.pmpcfg(2);
1515
              end if;
1516
              if (PMP_NUM_REGIONS >= 4) then
1517
                csr_rdata_o(31 downto 24) <= csr.pmpcfg(3);
1518
              end if;
1519
            end if;
1520
          when x"3a1" => -- R/W: pmpcfg1 - physical memory protection configuration register 1
1521
            if (PMP_USE = true) then
1522
              if (PMP_NUM_REGIONS >= 5) then
1523
                csr_rdata_o(07 downto 00) <= csr.pmpcfg(4);
1524
              end if;
1525
              if (PMP_NUM_REGIONS >= 6) then
1526
                csr_rdata_o(15 downto 08) <= csr.pmpcfg(5);
1527
              end if;
1528
              if (PMP_NUM_REGIONS >= 7) then
1529
                csr_rdata_o(23 downto 16) <= csr.pmpcfg(6);
1530
              end if;
1531
              if (PMP_NUM_REGIONS >= 8) then
1532
                csr_rdata_o(31 downto 24) <= csr.pmpcfg(7);
1533
              end if;
1534
            end if;
1535
 
1536
          when x"3b0" => -- R/W: pmpaddr0 - physical memory protection address register 0
1537
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 1) then
1538
              csr_rdata_o <= pmp_maddr_i(0)(33 downto 2);
1539
              if (csr.pmpcfg(0)(4 downto 3) = "00") then -- mode = off
1540
                csr_rdata_o(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1541
              else -- mode = NAPOT
1542
                csr_rdata_o(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1543
              end if;
1544
            end if;
1545
          when x"3b1" => -- R/W: pmpaddr1 - physical memory protection address register 1
1546
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 2) then
1547
              csr_rdata_o <= pmp_maddr_i(1)(33 downto 2);
1548
              if (csr.pmpcfg(1)(4 downto 3) = "00") then -- mode = off
1549
                csr_rdata_o(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1550
              else -- mode = NAPOT
1551
                csr_rdata_o(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1552
              end if;
1553
            end if;
1554
          when x"3b2" => -- R/W: pmpaddr2 - physical memory protection address register 2
1555
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 3) then
1556
              csr_rdata_o <= pmp_maddr_i(2)(33 downto 2);
1557
              if (csr.pmpcfg(2)(4 downto 3) = "00") then -- mode = off
1558
                csr_rdata_o(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1559
              else -- mode = NAPOT
1560
                csr_rdata_o(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1561
              end if;
1562
            end if;
1563
          when x"3b3" => -- R/W: pmpaddr3 - physical memory protection address register 3
1564
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 4) then
1565
              csr_rdata_o <= pmp_maddr_i(3)(33 downto 2);
1566
              if (csr.pmpcfg(3)(4 downto 3) = "00") then -- mode = off
1567
                csr_rdata_o(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1568
              else -- mode = NAPOT
1569
                csr_rdata_o(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1570
              end if;
1571
            end if;
1572
          when x"3b4" => -- R/W: pmpaddr4 - physical memory protection address register 4
1573
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 5) then
1574
              csr_rdata_o <= pmp_maddr_i(4)(33 downto 2);
1575
              if (csr.pmpcfg(4)(4 downto 3) = "00") then -- mode = off
1576
                csr_rdata_o(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1577
              else -- mode = NAPOT
1578
                csr_rdata_o(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1579
              end if;
1580
            end if;
1581
          when x"3b5" => -- R/W: pmpaddr5 - physical memory protection address register 5
1582
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 6) then
1583
              csr_rdata_o <= pmp_maddr_i(5)(33 downto 2);
1584
              if (csr.pmpcfg(5)(4 downto 3) = "00") then -- mode = off
1585
                csr_rdata_o(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1586
              else -- mode = NAPOT
1587
                csr_rdata_o(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1588
              end if;
1589
            end if;
1590
          when x"3b6" => -- R/W: pmpaddr6 - physical memory protection address register 6
1591
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 7) then
1592
              csr_rdata_o <= pmp_maddr_i(6)(33 downto 2);
1593
              if (csr.pmpcfg(6)(4 downto 3) = "00") then -- mode = off
1594
                csr_rdata_o(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1595
              else -- mode = NAPOT
1596
                csr_rdata_o(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1597
              end if;
1598
            end if;
1599
          when x"3b7" => -- R/W: pmpaddr7 - physical memory protection address register 7
1600
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 8) then
1601
              csr_rdata_o <= pmp_maddr_i(7)(33 downto 2);
1602
              if (csr.pmpcfg(7)(4 downto 3) = "00") then -- mode = off
1603
                csr_rdata_o(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1604
              else -- mode = NAPOT
1605
                csr_rdata_o(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1606
              end if;
1607
            end if;
1608
 
1609 11 zero_gravi
          -- counter and timers --
1610
          when x"c00" | x"b00" => -- R/(W): cycle/mcycle: Cycle counter LOW
1611
            csr_rdata_o <= csr.mcycle(31 downto 0);
1612 12 zero_gravi
          when x"c01" => -- R/-: time: System time LOW (from MTIME unit)
1613
            csr_rdata_o <= systime(31 downto 0);
1614 11 zero_gravi
          when x"c02" | x"b02" => -- R/(W): instret/minstret: Instructions-retired counter LOW
1615
            csr_rdata_o <= csr.minstret(31 downto 0);
1616
          when x"c80" | x"b80" => -- R/(W): cycleh/mcycleh: Cycle counter HIGH
1617 12 zero_gravi
            csr_rdata_o <= x"000" & csr.mcycleh(19 downto 0); -- only the lowest 20 bit!
1618
          when x"c81" => -- R/-: timeh: System time HIGH (from MTIME unit)
1619
            csr_rdata_o <= systime(63 downto 32);
1620 11 zero_gravi
          when x"c82" | x"b82" => -- R/(W): instreth/minstreth: Instructions-retired counter HIGH
1621 12 zero_gravi
            csr_rdata_o <= x"000" & csr.minstreth(19 downto 0); -- only the lowest 20 bit!
1622 11 zero_gravi
 
1623
          -- machine information registers --
1624 12 zero_gravi
          when x"f11" => -- R/-: mvendorid
1625
            csr_rdata_o <= (others => '0'); -- not yet assigned for NEORV32
1626
          when x"f12" => -- R/-: marchid
1627
            csr_rdata_o <= (others => '0'); -- not yet assigned for NEORV32
1628
          when x"f13" => -- R/-: mimpid - implementation ID / NEORV32: version
1629 11 zero_gravi
            csr_rdata_o <= hw_version_c;
1630
          when x"f14" => -- R/-: mhartid - hardware thread ID
1631 12 zero_gravi
            csr_rdata_o <= HW_THREAD_ID;
1632 11 zero_gravi
 
1633
          -- undefined/unavailable --
1634
          when others =>
1635
            csr_rdata_o <= (others => '0'); -- not implemented
1636
 
1637
        end case;
1638 12 zero_gravi
      else
1639
        csr_rdata_o <= (others => '0');
1640 2 zero_gravi
      end if;
1641
    end if;
1642
  end process csr_read_access;
1643
 
1644 12 zero_gravi
  -- time[h] CSR --
1645
  systime <= time_i when (CSR_COUNTERS_USE = true) else (others => '0');
1646 2 zero_gravi
 
1647 15 zero_gravi
  -- CPU's current privilege level --
1648
  priv_mode_o <= csr.privilege;
1649 12 zero_gravi
 
1650 15 zero_gravi
  -- PMP output --
1651
  pmp_output: process(csr)
1652
  begin
1653
    pmp_addr_o <= (others => (others => '0'));
1654
    pmp_ctrl_o <= (others => (others => '0'));
1655
    if (PMP_USE = true) then
1656
      for i in 0 to PMP_NUM_REGIONS-1 loop
1657
        pmp_addr_o(i) <= csr.pmpaddr(i) & "00";
1658
        pmp_ctrl_o(i) <= csr.pmpcfg(i);
1659
      end loop; -- i
1660
    end if;
1661
  end process pmp_output;
1662
 
1663
 
1664 6 zero_gravi
  -- RISC-V Counter CSRs --------------------------------------------------------------------
1665 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1666
  csr_counters: process(rstn_i, clk_i)
1667
  begin
1668 6 zero_gravi
    if (rstn_i = '0') then
1669 11 zero_gravi
      csr.mcycle    <= (others => '0');
1670
      csr.minstret  <= (others => '0');
1671
      csr.mcycleh   <= (others => '0');
1672
      csr.minstreth <= (others => '0');
1673
      mcycle_msb    <= '0';
1674
      minstret_msb  <= '0';
1675 6 zero_gravi
    elsif rising_edge(clk_i) then
1676 12 zero_gravi
      if (CSR_COUNTERS_USE = true) then
1677 11 zero_gravi
 
1678
        -- mcycle (cycle) --
1679
        mcycle_msb <= csr.mcycle(csr.mcycle'left);
1680
        if (csr.we = '1') and (execute_engine.i_reg(31 downto 20) = x"b00") then -- write access
1681
          csr.mcycle(31 downto 0) <= csr_wdata_i;
1682
          csr.mcycle(32) <= '0';
1683
        elsif (execute_engine.sleep = '0') then -- automatic update
1684
          csr.mcycle <= std_ulogic_vector(unsigned(csr.mcycle) + 1);
1685 2 zero_gravi
        end if;
1686 11 zero_gravi
 
1687
        -- mcycleh (cycleh) --
1688
        if (csr.we = '1') and (execute_engine.i_reg(31 downto 20) = x"b80") then -- write access
1689 12 zero_gravi
          csr.mcycleh <= csr_wdata_i(19 downto 0);
1690 11 zero_gravi
        elsif ((mcycle_msb xor csr.mcycle(csr.mcycle'left)) = '1') then -- automatic update
1691
          csr.mcycleh <= std_ulogic_vector(unsigned(csr.mcycleh) + 1);
1692 2 zero_gravi
        end if;
1693 11 zero_gravi
 
1694
        -- minstret (instret) --
1695
        minstret_msb <= csr.minstret(csr.minstret'left);
1696
        if (csr.we = '1') and (execute_engine.i_reg(31 downto 20) = x"b02") then -- write access
1697
          csr.minstret(31 downto 0) <= csr_wdata_i;
1698
          csr.minstret(32) <= '0';
1699 12 zero_gravi
        elsif (execute_engine.state_nxt /= EXECUTE) and (execute_engine.state = EXECUTE) then -- automatic update
1700 11 zero_gravi
          csr.minstret <= std_ulogic_vector(unsigned(csr.minstret) + 1);
1701 2 zero_gravi
        end if;
1702 11 zero_gravi
 
1703
        -- minstreth (instreth) --
1704
        if (csr.we = '1') and (execute_engine.i_reg(31 downto 20) = x"b82") then -- write access
1705 12 zero_gravi
          csr.minstreth <= csr_wdata_i(19 downto 0);
1706 11 zero_gravi
        elsif ((minstret_msb xor csr.minstret(csr.minstret'left)) = '1') then -- automatic update
1707
          csr.minstreth <= std_ulogic_vector(unsigned(csr.minstreth) + 1);
1708
        end if;
1709
 
1710 12 zero_gravi
      else -- if not implemented
1711
        csr.mcycle    <= (others => '0');
1712
        csr.minstret  <= (others => '0');
1713
        csr.mcycleh   <= (others => '0');
1714
        csr.minstreth <= (others => '0');
1715
        mcycle_msb    <= '0';
1716
        minstret_msb  <= '0';
1717 2 zero_gravi
      end if;
1718
    end if;
1719
  end process csr_counters;
1720
 
1721
 
1722
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.