OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 30

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Control >>                                                                   #
3
-- # ********************************************************************************************* #
4 6 zero_gravi
-- # CPU operation is split into a fetch engine (responsible for fetching an decompressing instr-  #
5
-- # uctions), an execute engine (responsible for actually executing the instructions), an inter-  #
6
-- # rupt and exception handling controller and the RISC-V status and control registers (CSRs).    #
7 2 zero_gravi
-- # ********************************************************************************************* #
8
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
11
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45
 
46
entity neorv32_cpu_control is
47
  generic (
48
    -- General --
49 12 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
50
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
51 2 zero_gravi
    -- RISC-V CPU Extensions --
52 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
53
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
54
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
55 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
56 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
57 15 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
58
    -- Physical memory protection (PMP) --
59
    PMP_USE                      : boolean := false; -- implement physical memory protection?
60
    PMP_NUM_REGIONS              : natural := 4; -- number of regions (1..4)
61
    PMP_GRANULARITY              : natural := 0  -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
62 2 zero_gravi
  );
63
  port (
64
    -- global control --
65
    clk_i         : in  std_ulogic; -- global clock, rising edge
66
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
67
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
68
    -- status input --
69
    alu_wait_i    : in  std_ulogic; -- wait for ALU
70 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
71
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
72 2 zero_gravi
    -- data input --
73
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
74
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
75 27 zero_gravi
    alu_res_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU processing result
76 2 zero_gravi
    -- data output --
77
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
78 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
79
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
80
    next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to current instruction)
81 2 zero_gravi
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
82 14 zero_gravi
    -- interrupts (risc-v compliant) --
83
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
84
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
85 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
86 14 zero_gravi
    -- fast interrupts (custom) --
87
    firq_i        : in  std_ulogic_vector(3 downto 0);
88 11 zero_gravi
    -- system time input from MTIME --
89
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
90 15 zero_gravi
    -- physical memory protection --
91 23 zero_gravi
    pmp_addr_o    : out pmp_addr_if_t; -- addresses
92
    pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
93
    priv_mode_o   : out std_ulogic_vector(1 downto 0); -- current CPU privilege level
94 2 zero_gravi
    -- bus access exceptions --
95
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0);  -- memory address register
96
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
97
    ma_load_i     : in  std_ulogic; -- misaligned load data address
98
    ma_store_i    : in  std_ulogic; -- misaligned store data address
99
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
100
    be_load_i     : in  std_ulogic; -- bus error on load data access
101 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
102 2 zero_gravi
  );
103
end neorv32_cpu_control;
104
 
105
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
106
 
107 6 zero_gravi
  -- instruction fetch enginge --
108 13 zero_gravi
  type fetch_engine_state_t is (IFETCH_RESET, IFETCH_0, IFETCH_1, IFETCH_2);
109 6 zero_gravi
  type fetch_engine_t is record
110
    state           : fetch_engine_state_t;
111
    state_nxt       : fetch_engine_state_t;
112
    i_buf           : std_ulogic_vector(33 downto 0);
113
    i_buf_nxt       : std_ulogic_vector(33 downto 0);
114
    i_buf2          : std_ulogic_vector(33 downto 0);
115
    i_buf2_nxt      : std_ulogic_vector(33 downto 0);
116 13 zero_gravi
    ci_input        : std_ulogic_vector(15 downto 0); -- input to compressed instr. decoder
117 6 zero_gravi
    i_buf_state     : std_ulogic_vector(01 downto 0);
118
    i_buf_state_nxt : std_ulogic_vector(01 downto 0);
119 20 zero_gravi
    pc              : std_ulogic_vector(data_width_c-1 downto 0);
120
    pc_add          : std_ulogic_vector(data_width_c-1 downto 0);
121 6 zero_gravi
    reset           : std_ulogic;
122
    bus_err_ack     : std_ulogic;
123
  end record;
124
  signal fetch_engine : fetch_engine_t;
125 2 zero_gravi
 
126
  -- pre-decoder --
127
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
128
  signal ci_illegal : std_ulogic;
129
 
130 6 zero_gravi
  -- instrucion prefetch buffer (IPB) --
131 20 zero_gravi
  type ipb_dbuf_t is array (0 to ipb_entries_c-1) of std_ulogic_vector(35 downto 0);
132 6 zero_gravi
  type ipb_t is record
133 20 zero_gravi
    wdata  : std_ulogic_vector(35 downto 0); -- data (+ status) to be written
134
    we     : std_ulogic; -- trigger write
135
    free   : std_ulogic; -- free entry available?
136
    --
137
    rdata  : std_ulogic_vector(35 downto 0); -- read data (+ status)
138
    re     : std_ulogic; -- trigger read
139
    avail  : std_ulogic; -- data available?
140
    --
141
    clear  : std_ulogic; -- clear all entries
142
    --
143
    data   : ipb_dbuf_t; -- the data fifo
144
    w_pnt  : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- write pointer
145
    r_pnt  : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- read pointer
146
    empty  : std_ulogic;
147
    full   : std_ulogic;
148 6 zero_gravi
  end record;
149
  signal ipb : ipb_t;
150 2 zero_gravi
 
151 6 zero_gravi
  -- instruction execution engine --
152 12 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP, EXECUTE, ALU_WAIT, BRANCH, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, CSR_ACCESS);
153 6 zero_gravi
  type execute_engine_t is record
154
    state        : execute_engine_state_t;
155 19 zero_gravi
    state_prev   : execute_engine_state_t;
156 6 zero_gravi
    state_nxt    : execute_engine_state_t;
157
    i_reg        : std_ulogic_vector(31 downto 0);
158
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
159
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
160
    is_ci_nxt    : std_ulogic;
161
    is_jump      : std_ulogic; -- current instruction is jump instruction
162
    is_jump_nxt  : std_ulogic;
163 29 zero_gravi
    is_cp_op     : std_ulogic; -- current instruction is a co-processor operation
164
    is_cp_op_nxt : std_ulogic;
165 6 zero_gravi
    branch_taken : std_ulogic; -- branch condition fullfilled
166
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
167
    pc_nxt       : std_ulogic_vector(data_width_c-1 downto 0);
168
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
169
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
170 27 zero_gravi
    last_pc_nxt  : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
171 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
172
    sleep_nxt    : std_ulogic; -- CPU in sleep mode
173 20 zero_gravi
    if_rst       : std_ulogic; -- instruction fetch was reset
174
    if_rst_nxt   : std_ulogic; -- instruction fetch was reset
175 6 zero_gravi
  end record;
176
  signal execute_engine : execute_engine_t;
177 2 zero_gravi
 
178 12 zero_gravi
  signal next_pc_tmp : std_ulogic_vector(data_width_c-1 downto 0);
179
 
180 6 zero_gravi
  -- trap controller --
181
  type trap_ctrl_t is record
182
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
183
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
184
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
185
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
186
    exc_ack       : std_ulogic; -- acknowledge all exceptions
187
    irq_ack       : std_ulogic_vector(interrupt_width_c-1 downto 0); -- acknowledge specific interrupt
188
    irq_ack_nxt   : std_ulogic_vector(interrupt_width_c-1 downto 0);
189 14 zero_gravi
    cause         : std_ulogic_vector(5 downto 0); -- trap ID (for "mcause"), only for hw
190
    cause_nxt     : std_ulogic_vector(5 downto 0);
191 6 zero_gravi
    --
192
    env_start     : std_ulogic; -- start trap handler env
193
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
194
    env_end       : std_ulogic; -- end trap handler env
195
    --
196
    instr_be      : std_ulogic; -- instruction fetch bus error
197
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
198
    instr_il      : std_ulogic; -- illegal instruction
199
    env_call      : std_ulogic;
200
    break_point   : std_ulogic;
201
  end record;
202
  signal trap_ctrl : trap_ctrl_t;
203
 
204
  -- CPU control signals --
205
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
206 2 zero_gravi
 
207 6 zero_gravi
  -- fast bus access --
208
  signal bus_fast_ir : std_ulogic;
209 2 zero_gravi
 
210 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
211 15 zero_gravi
  type pmp_ctrl_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(7 downto 0);
212
  type pmp_addr_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
213 6 zero_gravi
  type csr_t is record
214 29 zero_gravi
    we           : std_ulogic; -- csr write enable
215 6 zero_gravi
    we_nxt       : std_ulogic;
216 29 zero_gravi
    re           : std_ulogic; -- csr read enable
217 6 zero_gravi
    re_nxt       : std_ulogic;
218 29 zero_gravi
    wdata        : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data
219
    rdata        : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
220
    --
221 6 zero_gravi
    mstatus_mie  : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
222
    mstatus_mpie : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/-)
223 29 zero_gravi
    mstatus_mpp  : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode
224
    --
225 6 zero_gravi
    mie_msie     : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
226
    mie_meie     : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
227 14 zero_gravi
    mie_mtie     : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W
228
    mie_firqe    : std_ulogic_vector(3 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
229 29 zero_gravi
    --
230 15 zero_gravi
    privilege    : std_ulogic_vector(1 downto 0); -- hart's current previous privilege mode
231 29 zero_gravi
    --
232 6 zero_gravi
    mepc         : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
233 14 zero_gravi
    mcause       : std_ulogic_vector(data_width_c-1 downto 0); -- mcause: machine trap cause (R/-)
234 12 zero_gravi
    mtvec        : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
235 11 zero_gravi
    mtval        : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or isntruction (R/W)
236 6 zero_gravi
    mscratch     : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
237 11 zero_gravi
    mcycle       : std_ulogic_vector(32 downto 0); -- mcycle (R/W), plus carry bit
238
    minstret     : std_ulogic_vector(32 downto 0); -- minstret (R/W), plus carry bit
239 27 zero_gravi
    mcycleh      : std_ulogic_vector(31 downto 0); -- mcycleh (R/W) - REDUCED BIT-WIDTH!
240
    minstreth    : std_ulogic_vector(31 downto 0); -- minstreth (R/W) - REDUCED BIT-WIDTH!
241 15 zero_gravi
    pmpcfg       : pmp_ctrl_t; -- physical memory protection - configuration registers
242
    pmpaddr      : pmp_addr_t; -- physical memory protection - address registers
243 6 zero_gravi
  end record;
244
  signal csr : csr_t;
245 2 zero_gravi
 
246 11 zero_gravi
  signal mcycle_msb   : std_ulogic;
247
  signal minstret_msb : std_ulogic;
248 2 zero_gravi
 
249 6 zero_gravi
  -- illegal instruction check --
250 2 zero_gravi
  signal illegal_instruction : std_ulogic;
251
  signal illegal_register    : std_ulogic; -- only for E-extension
252
  signal illegal_compressed  : std_ulogic; -- only fir C-extension
253
 
254 15 zero_gravi
  -- access (privilege) check --
255
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
256
 
257 2 zero_gravi
begin
258
 
259 6 zero_gravi
-- ****************************************************************************************************************************
260
-- Instruction Fetch
261
-- ****************************************************************************************************************************
262
 
263
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
264
  -- -------------------------------------------------------------------------------------------
265 23 zero_gravi
  -- registers that require a specific reset state --
266 6 zero_gravi
  fetch_engine_fsm_sync_rst: process(rstn_i, clk_i)
267
  begin
268
    if (rstn_i = '0') then
269
      fetch_engine.state <= IFETCH_RESET;
270
    elsif rising_edge(clk_i) then
271
      if (fetch_engine.reset = '1') then
272
        fetch_engine.state <= IFETCH_RESET;
273
      else
274
        fetch_engine.state <= fetch_engine.state_nxt;
275
      end if;
276
    end if;
277
  end process fetch_engine_fsm_sync_rst;
278
 
279
 
280 23 zero_gravi
  -- registers that DO NOT require a specific reset state --
281 6 zero_gravi
  fetch_engine_fsm_sync: process(clk_i)
282
  begin
283
    if rising_edge(clk_i) then
284
      if (fetch_engine.state = IFETCH_RESET) then
285 20 zero_gravi
        fetch_engine.pc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
286 6 zero_gravi
      else
287 20 zero_gravi
        fetch_engine.pc <= std_ulogic_vector(unsigned(fetch_engine.pc(data_width_c-1 downto 1) & '0') + unsigned(fetch_engine.pc_add(data_width_c-1 downto 1) & '0'));
288 6 zero_gravi
      end if;
289
      --
290
      fetch_engine.i_buf       <= fetch_engine.i_buf_nxt;
291
      fetch_engine.i_buf2      <= fetch_engine.i_buf2_nxt;
292
      fetch_engine.i_buf_state <= fetch_engine.i_buf_state_nxt;
293
    end if;
294
  end process fetch_engine_fsm_sync;
295
 
296 12 zero_gravi
  -- PC output --
297 20 zero_gravi
  fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0';
298 6 zero_gravi
 
299 12 zero_gravi
 
300 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
301
  -- -------------------------------------------------------------------------------------------
302 13 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, csr, ipb, instr_i, bus_i_wait_i, ci_instr32, ci_illegal, be_instr_i, ma_instr_i)
303 6 zero_gravi
  begin
304
    -- arbiter defaults --
305 13 zero_gravi
    bus_fast_ir                  <= '0';
306 6 zero_gravi
    fetch_engine.state_nxt       <= fetch_engine.state;
307 20 zero_gravi
    fetch_engine.pc_add          <= (others => '0');
308 6 zero_gravi
    fetch_engine.i_buf_nxt       <= fetch_engine.i_buf;
309
    fetch_engine.i_buf2_nxt      <= fetch_engine.i_buf2;
310
    fetch_engine.i_buf_state_nxt <= fetch_engine.i_buf_state;
311 13 zero_gravi
    fetch_engine.ci_input        <= fetch_engine.i_buf2(15 downto 00);
312 6 zero_gravi
    fetch_engine.bus_err_ack     <= '0';
313
 
314
    -- instruction prefetch buffer interface --
315
    ipb.we    <= '0';
316
    ipb.clear <= '0';
317 19 zero_gravi
    ipb.wdata <= (others => '0');
318 6 zero_gravi
 
319
    -- state machine --
320
    case fetch_engine.state is
321
 
322 11 zero_gravi
      when IFETCH_RESET => -- reset engine, prefetch buffer, get appilcation PC
323 6 zero_gravi
      -- ------------------------------------------------------------
324
        fetch_engine.i_buf_state_nxt <= (others => '0');
325
        ipb.clear                    <= '1'; -- clear instruction prefetch buffer
326
        fetch_engine.state_nxt       <= IFETCH_0;
327 28 zero_gravi
        fetch_engine.bus_err_ack     <= '1'; -- acknowledge any instruction bus errors, the execute engine has to take care of them / terminate current transfer
328 6 zero_gravi
 
329
      when IFETCH_0 => -- output current PC to bus system, request 32-bit word
330
      -- ------------------------------------------------------------
331 12 zero_gravi
        bus_fast_ir            <= '1'; -- fast instruction fetch request
332
        fetch_engine.state_nxt <= IFETCH_1;
333 6 zero_gravi
 
334
      when IFETCH_1 => -- store data from memory to buffer(s)
335
      -- ------------------------------------------------------------
336 12 zero_gravi
        if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
337
          fetch_engine.i_buf_nxt       <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store data word and exception info
338
          fetch_engine.i_buf2_nxt      <= fetch_engine.i_buf;
339
          fetch_engine.i_buf_state_nxt <= fetch_engine.i_buf_state(0) & '1';
340
          if (fetch_engine.i_buf_state(0) = '1') then -- buffer filled?
341
            fetch_engine.state_nxt <= IFETCH_2;
342
          else
343 20 zero_gravi
            fetch_engine.pc_add    <= std_ulogic_vector(to_unsigned(4, data_width_c));
344
            fetch_engine.state_nxt <= IFETCH_0; -- get another instruction word
345 12 zero_gravi
          end if;
346 6 zero_gravi
        end if;
347 11 zero_gravi
 
348 12 zero_gravi
      when IFETCH_2 => -- construct instruction word and issue
349 6 zero_gravi
      -- ------------------------------------------------------------
350 28 zero_gravi
        fetch_engine.bus_err_ack <= '1'; -- acknowledge any instruction bus errors, the execute engine has to take care of them / terminate current transfer
351 20 zero_gravi
        if (fetch_engine.pc(1) = '0') or (CPU_EXTENSION_RISCV_C = false) then -- 32-bit aligned
352 13 zero_gravi
          fetch_engine.ci_input <= fetch_engine.i_buf2(15 downto 00);
353 6 zero_gravi
 
354 13 zero_gravi
          if (ipb.free = '1') then -- free entry in buffer?
355
            ipb.we <= '1';
356
            if (fetch_engine.i_buf2(01 downto 00) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed
357 20 zero_gravi
              ipb.wdata              <= '0' & fetch_engine.i_buf2(33 downto 32) & '0' & fetch_engine.i_buf2(31 downto 0);
358
              fetch_engine.pc_add    <= std_ulogic_vector(to_unsigned(4, data_width_c));
359
              fetch_engine.state_nxt <= IFETCH_0;
360 13 zero_gravi
            else -- compressed
361 20 zero_gravi
              ipb.wdata              <= ci_illegal & fetch_engine.i_buf2(33 downto 32) & '1' & ci_instr32;
362
              fetch_engine.pc_add    <= std_ulogic_vector(to_unsigned(2, data_width_c));
363
              fetch_engine.state_nxt <= IFETCH_2; -- try to get another 16-bit instruction word in next round
364 13 zero_gravi
            end if;
365
          end if;
366 12 zero_gravi
 
367 13 zero_gravi
        else -- 16-bit aligned
368
          fetch_engine.ci_input <= fetch_engine.i_buf2(31 downto 16);
369 12 zero_gravi
 
370 13 zero_gravi
          if (ipb.free = '1') then -- free entry in buffer?
371
            ipb.we <= '1';
372 22 zero_gravi
            if (fetch_engine.i_buf2(17 downto 16) = "11") then -- uncompressed and "unaligned"
373 20 zero_gravi
              ipb.wdata              <= '0' & fetch_engine.i_buf(33 downto 32) & '0' & fetch_engine.i_buf(15 downto 00) & fetch_engine.i_buf2(31 downto 16);
374
              fetch_engine.pc_add    <= std_ulogic_vector(to_unsigned(4, data_width_c));
375
              fetch_engine.state_nxt <= IFETCH_0;
376 19 zero_gravi
            else -- compressed
377 20 zero_gravi
              ipb.wdata              <= ci_illegal & fetch_engine.i_buf(33 downto 32) & '1' & ci_instr32;
378
              fetch_engine.pc_add    <= std_ulogic_vector(to_unsigned(2, data_width_c));
379
              fetch_engine.state_nxt <= IFETCH_0;
380 13 zero_gravi
            end if;
381 6 zero_gravi
          end if;
382 13 zero_gravi
       end if;
383 6 zero_gravi
 
384
      when others => -- undefined
385
      -- ------------------------------------------------------------
386
        fetch_engine.state_nxt <= IFETCH_RESET;
387
 
388
    end case;
389
  end process fetch_engine_fsm_comb;
390
 
391
 
392 23 zero_gravi
  -- Compressed Instructions Recoding -------------------------------------------------------
393
  -- -------------------------------------------------------------------------------------------
394
  neorv32_cpu_decompressor_inst_true:
395
  if (CPU_EXTENSION_RISCV_C = true) generate
396
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
397
    port map (
398
      -- instruction input --
399
      ci_instr16_i => fetch_engine.ci_input, -- compressed instruction input
400
      -- instruction output --
401
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
402
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
403
    );
404
  end generate;
405
 
406
  neorv32_cpu_decompressor_inst_false:
407
  if (CPU_EXTENSION_RISCV_C = false) generate
408
    ci_instr32 <= (others => '0');
409
    ci_illegal <= '0';
410
  end generate;
411
 
412
 
413 6 zero_gravi
-- ****************************************************************************************************************************
414
-- Instruction Prefetch Buffer
415
-- ****************************************************************************************************************************
416
 
417
 
418 20 zero_gravi
  -- Instruction Prefetch Buffer (FIFO) -----------------------------------------------------
419 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
420 20 zero_gravi
  instr_prefetch_buffer_ctrl: process(rstn_i, clk_i)
421 6 zero_gravi
  begin
422
    if (rstn_i = '0') then
423 20 zero_gravi
      ipb.w_pnt <= (others => '0');
424
      ipb.r_pnt <= (others => '0');
425 6 zero_gravi
    elsif rising_edge(clk_i) then
426 20 zero_gravi
      -- write port --
427 6 zero_gravi
      if (ipb.clear = '1') then
428 20 zero_gravi
        ipb.w_pnt <= (others => '0');
429 6 zero_gravi
      elsif (ipb.we = '1') then
430 20 zero_gravi
        ipb.w_pnt <= std_ulogic_vector(unsigned(ipb.w_pnt) + 1);
431
      end if;
432
      -- read port --
433
      if (ipb.clear = '1') then
434
        ipb.r_pnt <= (others => '0');
435 6 zero_gravi
      elsif (ipb.re = '1') then
436 20 zero_gravi
        ipb.r_pnt <= std_ulogic_vector(unsigned(ipb.r_pnt) + 1);
437 6 zero_gravi
      end if;
438 20 zero_gravi
    end if;
439
  end process instr_prefetch_buffer_ctrl;
440
 
441
  instr_prefetch_buffer_data: process(clk_i)
442
  begin
443
    if rising_edge(clk_i) then
444
      if (ipb.we = '1') then -- write port
445
        ipb.data(to_integer(unsigned(ipb.w_pnt(ipb.w_pnt'left-1 downto 0)))) <= ipb.wdata;
446 6 zero_gravi
      end if;
447
    end if;
448 20 zero_gravi
  end process instr_prefetch_buffer_data;
449 6 zero_gravi
 
450 20 zero_gravi
  -- async read --
451
  ipb.rdata <= ipb.data(to_integer(unsigned(ipb.r_pnt(ipb.w_pnt'left-1 downto 0))));
452
 
453 6 zero_gravi
  -- status --
454 20 zero_gravi
  ipb.full  <= '1' when (ipb.r_pnt(ipb.r_pnt'left) /= ipb.w_pnt(ipb.w_pnt'left)) and (ipb.r_pnt(ipb.r_pnt'left-1 downto 0) = ipb.w_pnt(ipb.w_pnt'left-1 downto 0)) else '0';
455
  ipb.empty <= '1' when (ipb.r_pnt(ipb.r_pnt'left)  = ipb.w_pnt(ipb.w_pnt'left)) and (ipb.r_pnt(ipb.r_pnt'left-1 downto 0) = ipb.w_pnt(ipb.w_pnt'left-1 downto 0)) else '0';
456
 
457
  ipb.free  <= not ipb.full;
458
  ipb.avail <= not ipb.empty;
459 6 zero_gravi
 
460
 
461
-- ****************************************************************************************************************************
462
-- Instruction Execution
463
-- ****************************************************************************************************************************
464
 
465
 
466 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
467
  -- -------------------------------------------------------------------------------------------
468
  imm_gen: process(clk_i)
469
  begin
470
    if rising_edge(clk_i) then
471 6 zero_gravi
      case execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) is
472 2 zero_gravi
        when opcode_store_c => -- S-immediate
473 6 zero_gravi
          imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
474
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
475
          imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
476
          imm_o(00)           <= execute_engine.i_reg(07);
477 2 zero_gravi
        when opcode_branch_c => -- B-immediate
478 6 zero_gravi
          imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
479
          imm_o(11)           <= execute_engine.i_reg(07);
480
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
481
          imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
482
          imm_o(00)           <= '0';
483 2 zero_gravi
        when opcode_lui_c | opcode_auipc_c => -- U-immediate
484 6 zero_gravi
          imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
485
          imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
486
          imm_o(11 downto 00) <= (others => '0');
487 2 zero_gravi
        when opcode_jal_c => -- J-immediate
488 6 zero_gravi
          imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
489
          imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
490
          imm_o(11)           <= execute_engine.i_reg(20);
491
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
492
          imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
493
          imm_o(00)           <= '0';
494 2 zero_gravi
        when opcode_syscsr_c => -- CSR-immediate
495 6 zero_gravi
          imm_o(31 downto 05) <= (others => '0');
496
          imm_o(04 downto 00) <= execute_engine.i_reg(19 downto 15);
497 2 zero_gravi
        when others => -- I-immediate
498 6 zero_gravi
          imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
499
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
500
          imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
501
          imm_o(00)           <= execute_engine.i_reg(20);
502 2 zero_gravi
      end case;
503
    end if;
504
  end process imm_gen;
505
 
506
 
507
  -- Branch Condition Check -----------------------------------------------------------------
508
  -- -------------------------------------------------------------------------------------------
509 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
510 2 zero_gravi
  begin
511 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
512 2 zero_gravi
      when funct3_beq_c => -- branch if equal
513 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_equal_c);
514 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
515 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_equal_c);
516 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
517 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_less_c);
518 2 zero_gravi
      when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned)
519 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_less_c);
520 2 zero_gravi
      when others => -- undefined
521 6 zero_gravi
        execute_engine.branch_taken <= '0';
522 2 zero_gravi
    end case;
523
  end process branch_check;
524
 
525
 
526 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
527 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
528 12 zero_gravi
  -- for registers that DO require a specific reset state --
529 6 zero_gravi
  execute_engine_fsm_sync_rst: process(rstn_i, clk_i)
530 2 zero_gravi
  begin
531
    if (rstn_i = '0') then
532 12 zero_gravi
      execute_engine.pc      <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
533
      execute_engine.last_pc <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
534
      execute_engine.state   <= SYS_WAIT;
535 13 zero_gravi
      execute_engine.sleep   <= '0';
536 23 zero_gravi
      execute_engine.if_rst  <= '1'; -- instruction fetch is reset after system reset
537 2 zero_gravi
    elsif rising_edge(clk_i) then
538 27 zero_gravi
      execute_engine.pc      <= execute_engine.pc_nxt(data_width_c-1 downto 1) & '0';
539
      execute_engine.last_pc <= execute_engine.last_pc_nxt;
540
      execute_engine.state   <= execute_engine.state_nxt;
541
      execute_engine.sleep   <= execute_engine.sleep_nxt;
542
      execute_engine.if_rst  <= execute_engine.if_rst_nxt;
543 2 zero_gravi
    end if;
544 6 zero_gravi
  end process execute_engine_fsm_sync_rst;
545 2 zero_gravi
 
546 6 zero_gravi
 
547 12 zero_gravi
  -- for registers that do NOT require a specific reset state --
548 6 zero_gravi
  execute_engine_fsm_sync: process(clk_i)
549 2 zero_gravi
  begin
550
    if rising_edge(clk_i) then
551 19 zero_gravi
      execute_engine.state_prev <= execute_engine.state;
552
      execute_engine.i_reg      <= execute_engine.i_reg_nxt;
553
      execute_engine.is_ci      <= execute_engine.is_ci_nxt;
554
      execute_engine.is_jump    <= execute_engine.is_jump_nxt;
555 29 zero_gravi
      execute_engine.is_cp_op   <= execute_engine.is_cp_op_nxt;
556 19 zero_gravi
      --
557 6 zero_gravi
      ctrl <= ctrl_nxt;
558 2 zero_gravi
    end if;
559 6 zero_gravi
  end process execute_engine_fsm_sync;
560 2 zero_gravi
 
561 20 zero_gravi
  -- next PC --
562
  next_pc_tmp <= std_ulogic_vector(unsigned(execute_engine.pc) + 2) when (execute_engine.is_ci = '1') else std_ulogic_vector(unsigned(execute_engine.pc) + 4);
563 12 zero_gravi
  execute_engine.next_pc <= next_pc_tmp(data_width_c-1 downto 1) & '0';
564 6 zero_gravi
 
565 20 zero_gravi
  -- PC output --
566
  curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1) & '0';
567
  next_pc_o <= next_pc_tmp(data_width_c-1 downto 1) & '0';
568 6 zero_gravi
 
569 20 zero_gravi
 
570 6 zero_gravi
  -- CPU Control Bus Output -----------------------------------------------------------------
571
  -- -------------------------------------------------------------------------------------------
572 29 zero_gravi
  ctrl_output: process(ctrl, fetch_engine, trap_ctrl, bus_fast_ir, execute_engine)
573 2 zero_gravi
  begin
574
    ctrl_o <= ctrl;
575 12 zero_gravi
    -- fast bus access requests --
576 6 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= ctrl(ctrl_bus_if_c) or bus_fast_ir;
577 12 zero_gravi
    -- bus error control --
578
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack;
579
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack;
580 29 zero_gravi
    -- co-processor operation --
581
    ctrl_o(ctrl_cp_cmd2_c downto ctrl_cp_cmd0_c) <= execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c);
582 6 zero_gravi
  end process ctrl_output;
583 2 zero_gravi
 
584
 
585 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
586
  -- -------------------------------------------------------------------------------------------
587 15 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, fetch_engine, ipb, trap_ctrl, csr, ctrl, csr_acc_valid,
588 29 zero_gravi
                                   alu_res_i, alu_wait_i, bus_d_wait_i, ma_load_i, be_load_i, ma_store_i, be_store_i)
589 2 zero_gravi
    variable alu_immediate_v : std_ulogic;
590
    variable rs1_is_r0_v     : std_ulogic;
591
  begin
592
    -- arbiter defaults --
593 29 zero_gravi
    execute_engine.state_nxt    <= execute_engine.state;
594
    execute_engine.i_reg_nxt    <= execute_engine.i_reg;
595
    execute_engine.is_jump_nxt  <= '0';
596
    execute_engine.is_cp_op_nxt <= execute_engine.is_cp_op;
597
    execute_engine.is_ci_nxt    <= execute_engine.is_ci;
598
    execute_engine.pc_nxt       <= execute_engine.pc;
599
    execute_engine.last_pc_nxt  <= execute_engine.last_pc;
600
    execute_engine.sleep_nxt    <= execute_engine.sleep;
601
    execute_engine.if_rst_nxt   <= execute_engine.if_rst;
602 2 zero_gravi
 
603 6 zero_gravi
    -- instruction dispatch --
604
    fetch_engine.reset         <= '0';
605
    ipb.re                     <= '0';
606 2 zero_gravi
 
607 6 zero_gravi
    -- trap environment control --
608
    trap_ctrl.env_start_ack    <= '0';
609
    trap_ctrl.env_end          <= '0';
610
 
611 2 zero_gravi
    -- exception trigger --
612 6 zero_gravi
    trap_ctrl.instr_be         <= '0';
613
    trap_ctrl.instr_ma         <= '0';
614
    trap_ctrl.env_call         <= '0';
615
    trap_ctrl.break_point      <= '0';
616 13 zero_gravi
    illegal_compressed         <= '0';
617 2 zero_gravi
 
618 6 zero_gravi
    -- CSR access --
619
    csr.we_nxt                 <= '0';
620
    csr.re_nxt                 <= '0';
621
 
622 2 zero_gravi
    -- control defaults --
623
    ctrl_nxt <= (others => '0'); -- all off at first
624 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
625
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation (SLTIU, SLTU)
626 2 zero_gravi
    else -- branches
627 6 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches (BLTU, BGEU)
628 2 zero_gravi
    end if;
629 27 zero_gravi
    ctrl_nxt(ctrl_bus_unsigned_c)  <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
630
    ctrl_nxt(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
631
    ctrl_nxt(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
632 29 zero_gravi
    ctrl_nxt(ctrl_alu_cmd2_c     downto ctrl_alu_cmd0_c)     <= alu_cmd_addsub_c; -- default ALU operation: ADD(I)
633 27 zero_gravi
    ctrl_nxt(ctrl_cp_id_msb_c    downto ctrl_cp_id_lsb_c)    <= cp_sel_muldiv_c; -- only CP0 (=MULDIV) implemented yet
634
    ctrl_nxt(ctrl_rf_rd_adr4_c   downto ctrl_rf_rd_adr0_c)   <= ctrl(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c); -- keep rd addr
635
    ctrl_nxt(ctrl_rf_rs1_adr4_c  downto ctrl_rf_rs1_adr0_c)  <= ctrl(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c); -- keep rs1 addr
636
    ctrl_nxt(ctrl_rf_rs2_adr4_c  downto ctrl_rf_rs2_adr0_c)  <= ctrl(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c); -- keep rs2 addr
637
    ctrl_nxt(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size
638 2 zero_gravi
 
639 26 zero_gravi
    -- is immediate ALU operation? --
640
    alu_immediate_v := not execute_engine.i_reg(instr_opcode_msb_c-1);
641 2 zero_gravi
 
642 26 zero_gravi
    -- is rs1 == r0? --
643
    rs1_is_r0_v := not or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c));
644 2 zero_gravi
 
645 26 zero_gravi
 
646 6 zero_gravi
    -- state machine --
647
    case execute_engine.state is
648 2 zero_gravi
 
649 25 zero_gravi
      when SYS_WAIT => -- System delay cycle (used to wait for side effects to kick in) ((and to init r0 with zero if it is a physical register))
650 2 zero_gravi
      -- ------------------------------------------------------------
651 26 zero_gravi
        -- set reg_file's r0 to zero --
652 25 zero_gravi
        if (rf_r0_is_reg_c = true) then -- is r0 implemented as physical register, which has to be set to zero?
653
          ctrl_nxt(ctrl_rf_rd_adr4_c downto ctrl_rf_rd_adr0_c) <= (others => '0'); -- rd addr = r0
654 26 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output (hacky! results zero since there is no valid CSR_read request)
655 25 zero_gravi
          ctrl_nxt(ctrl_rf_r0_we_c) <= '1'; -- allow write access to r0
656
          ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
657
        end if;
658
        --
659 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
660 2 zero_gravi
 
661 25 zero_gravi
      when DISPATCH => -- Get new command from instruction prefetch buffer (IPB)
662
      -- ------------------------------------------------------------
663 27 zero_gravi
        ctrl_nxt(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c)  <= ipb.rdata(instr_rd_msb_c  downto instr_rd_lsb_c); -- rd addr
664
        ctrl_nxt(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= ipb.rdata(instr_rs1_msb_c downto instr_rs1_lsb_c); -- rs1 addr
665
        ctrl_nxt(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= ipb.rdata(instr_rs2_msb_c downto instr_rs2_lsb_c); -- rs2 addr
666
        --
667 13 zero_gravi
        if (ipb.avail = '1') then -- instruction available?
668
          ipb.re <= '1';
669 25 zero_gravi
          --
670 27 zero_gravi
          execute_engine.is_ci_nxt  <= ipb.rdata(32); -- flag to indicate this is a de-compressed instruction beeing executed
671
          execute_engine.i_reg_nxt  <= ipb.rdata(31 downto 0);
672
          execute_engine.if_rst_nxt <= '0';
673
          --
674 13 zero_gravi
          trap_ctrl.instr_ma <= ipb.rdata(33); -- misaligned instruction fetch address
675 20 zero_gravi
          trap_ctrl.instr_be <= ipb.rdata(34); -- bus access fault during instrucion fetch
676 13 zero_gravi
          illegal_compressed <= ipb.rdata(35); -- invalid decompressed instruction
677 25 zero_gravi
          --
678 27 zero_gravi
          if (execute_engine.if_rst = '0') then -- if there was NO non-linear PC modification
679 21 zero_gravi
            execute_engine.pc_nxt <= execute_engine.next_pc;
680
          end if;
681
          --
682 14 zero_gravi
          if (execute_engine.sleep = '1') or (trap_ctrl.env_start = '1') or ((ipb.rdata(33) or ipb.rdata(34)) = '1') then
683 13 zero_gravi
            execute_engine.state_nxt <= TRAP;
684
          else
685 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
686 13 zero_gravi
          end if;
687
        end if;
688 2 zero_gravi
 
689 11 zero_gravi
      when TRAP => -- Start trap environment (also used as cpu sleep state)
690 2 zero_gravi
      -- ------------------------------------------------------------
691 20 zero_gravi
        fetch_engine.reset        <= '1';
692
        execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
693 13 zero_gravi
        if (trap_ctrl.env_start = '1') then -- check here again if we came directly from DISPATCH
694 6 zero_gravi
          trap_ctrl.env_start_ack  <= '1';
695 13 zero_gravi
          execute_engine.pc_nxt    <= csr.mtvec;
696 11 zero_gravi
          execute_engine.sleep_nxt <= '0'; -- waky waky
697 7 zero_gravi
          execute_engine.state_nxt <= SYS_WAIT;
698 2 zero_gravi
        end if;
699
 
700 6 zero_gravi
      when EXECUTE => -- Decode and execute instruction
701 2 zero_gravi
      -- ------------------------------------------------------------
702 27 zero_gravi
        execute_engine.last_pc_nxt <= execute_engine.pc; -- store address of current instruction for commit
703
        --
704 6 zero_gravi
        case execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) is
705 2 zero_gravi
 
706 25 zero_gravi
          when opcode_alu_c | opcode_alui_c => -- (immediate) ALU operation
707 2 zero_gravi
          -- ------------------------------------------------------------
708 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA
709
            ctrl_nxt(ctrl_alu_opb_mux_c) <= alu_immediate_v; -- use IMM as ALU.OPB for immediate operations
710 2 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "00"; -- RF input = ALU result
711 25 zero_gravi
 
712
            -- cp access? --
713
            if (CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and
714
               (execute_engine.i_reg(instr_funct7_lsb_c) = '1') then -- MULDIV?
715 29 zero_gravi
              ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_cp_c;
716
              execute_engine.is_cp_op_nxt <= '1'; -- use CP
717
            -- ALU operation --
718
            else
719
              case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU operation (re-coding)
720
                when funct3_sll_c  => ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_shift_c;  -- SLL(I)
721
                when funct3_slt_c  => ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_slt_c;    -- SLT(I)
722
                when funct3_sltu_c => ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_slt_c;    -- SLTU(I)
723
                when funct3_xor_c  => ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_xor_c;    -- XOR(I)
724
                when funct3_sr_c   => ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_shift_c;  -- SRL(I) / SRA(I)
725
                when funct3_or_c   => ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_or_c;     -- OR(I)
726
                when funct3_and_c  => ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_and_c;    -- AND(I)
727
                when others        => ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_addsub_c; -- ADD(I) / SUB
728
              end case;
729
              execute_engine.is_cp_op_nxt <= '0'; -- no CP operation
730 25 zero_gravi
            end if;
731
 
732 29 zero_gravi
            -- ADD/SUB --
733
            if ((alu_immediate_v = '0') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) or -- not an immediate op and funct7.6 set => SUB
734
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or -- SLT operation
735
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then -- SLTU operation
736
              ctrl_nxt(ctrl_alu_addsub_c) <= '1'; -- SUB/SLT
737
            else
738
              ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
739
            end if;
740
 
741 11 zero_gravi
            -- multi cycle alu operation? --
742 25 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or -- SLL shift operation?
743
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) or -- SR shift operation?
744
               ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1')) then -- MULDIV?
745 6 zero_gravi
              execute_engine.state_nxt <= ALU_WAIT;
746 26 zero_gravi
            else -- single cycle ALU operation
747 2 zero_gravi
              ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
748 6 zero_gravi
              execute_engine.state_nxt <= DISPATCH;
749 2 zero_gravi
            end if;
750
 
751 25 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC
752 2 zero_gravi
          -- ------------------------------------------------------------
753 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only)
754
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
755 25 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI
756 27 zero_gravi
              ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_movb_c; -- actual ALU operation = MOVB
757
            else -- AUIPC
758 29 zero_gravi
              ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_addsub_c; -- actual ALU operation = ADD
759 2 zero_gravi
            end if;
760
            ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "00"; -- RF input = ALU result
761
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
762 25 zero_gravi
            execute_engine.state_nxt  <= DISPATCH;
763 2 zero_gravi
 
764
          when opcode_load_c | opcode_store_c => -- load/store
765
          -- ------------------------------------------------------------
766 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA
767
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
768 29 zero_gravi
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_addsub_c; -- actual ALU operation = ADD
769 6 zero_gravi
            ctrl_nxt(ctrl_bus_mar_we_c) <= '1'; -- write to MAR
770
            ctrl_nxt(ctrl_bus_mdo_we_c) <= '1'; -- write to MDO (only relevant for stores)
771 12 zero_gravi
            execute_engine.state_nxt    <= LOADSTORE_0;
772 2 zero_gravi
 
773 29 zero_gravi
          when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register)
774 2 zero_gravi
          -- ------------------------------------------------------------
775 29 zero_gravi
            ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_addsub_c; -- actual ALU operation = ADD
776 2 zero_gravi
            -- compute target address --
777 29 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR
778
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base)
779
            else -- JAL / branch
780
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base)
781 2 zero_gravi
            end if;
782 29 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset)
783 2 zero_gravi
            -- save return address --
784 13 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "10"; -- RF input = next PC (save return address)
785 29 zero_gravi
            ctrl_nxt(ctrl_rf_wb_en_c)  <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- valid RF write-back? (for JAL/JALR)
786
            execute_engine.is_jump_nxt <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- is this is a jump operation? (for JAL/JALR)
787 6 zero_gravi
            execute_engine.state_nxt   <= BRANCH;
788 2 zero_gravi
 
789 8 zero_gravi
          when opcode_fence_c => -- fence operations
790
          -- ------------------------------------------------------------
791 27 zero_gravi
            -- for simplicity: internally, fence and fence.i perform the same operations (flush and reload of instruction prefetch buffer)
792 26 zero_gravi
            -- FENCE.I --
793
            if (CPU_EXTENSION_RISCV_Zifencei = true) then
794
              execute_engine.pc_nxt     <= execute_engine.next_pc; -- "refetch" next instruction
795
              execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
796
              fetch_engine.reset        <= '1';
797
              if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fencei_c(0)) then
798
                ctrl_nxt(ctrl_bus_fencei_c) <= '1';
799
              end if;
800 8 zero_gravi
            end if;
801 26 zero_gravi
            -- FENCE --
802
            if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fence_c(0)) then
803 12 zero_gravi
              ctrl_nxt(ctrl_bus_fence_c) <= '1';
804
            end if;
805 26 zero_gravi
            --
806 12 zero_gravi
            execute_engine.state_nxt <= SYS_WAIT;
807 8 zero_gravi
 
808 2 zero_gravi
          when opcode_syscsr_c => -- system/csr access
809
          -- ------------------------------------------------------------
810 25 zero_gravi
            ctrl_nxt(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= ctrl(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c); -- copy rs1_addr to rs2_addr (for CSR mod)
811
            --
812 6 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system
813
              case execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) is
814 11 zero_gravi
                when funct12_ecall_c => -- ECALL
815 6 zero_gravi
                  trap_ctrl.env_call <= '1';
816 11 zero_gravi
                when funct12_ebreak_c => -- EBREAK
817 6 zero_gravi
                  trap_ctrl.break_point <= '1';
818 11 zero_gravi
                when funct12_mret_c => -- MRET
819 25 zero_gravi
                  trap_ctrl.env_end <= '1';
820
                  execute_engine.pc_nxt <= csr.mepc;
821
                  fetch_engine.reset <= '1';
822 20 zero_gravi
                  execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
823 25 zero_gravi
                when funct12_wfi_c => -- WFI (CPU sleep)
824 27 zero_gravi
                  execute_engine.sleep_nxt <= '1'; -- good night
825 6 zero_gravi
                when others => -- undefined
826
                  NULL;
827 2 zero_gravi
              end case;
828 11 zero_gravi
              execute_engine.state_nxt <= SYS_WAIT;
829 13 zero_gravi
            else -- CSR access
830 27 zero_gravi
              csr.re_nxt <= '1'; -- always read CSR (internally)
831 13 zero_gravi
              execute_engine.state_nxt <= CSR_ACCESS;
832 2 zero_gravi
            end if;
833
 
834
          when others => -- undefined
835
          -- ------------------------------------------------------------
836 6 zero_gravi
            execute_engine.state_nxt <= DISPATCH;
837 2 zero_gravi
 
838
        end case;
839
 
840
      when CSR_ACCESS => -- write CSR data to RF, write ALU.res to CSR
841
      -- ------------------------------------------------------------
842 25 zero_gravi
        ctrl_nxt(ctrl_alu_opb_mux_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- OPB = rs2 (which is rs1 here) / immediate
843 27 zero_gravi
        ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_movb_c; -- actual ALU operation = MOVB
844
        -- CSR write access --
845 6 zero_gravi
        case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
846 25 zero_gravi
          when funct3_csrrw_c | funct3_csrrwi_c => -- CSRRW(I)
847 15 zero_gravi
            csr.we_nxt <= csr_acc_valid; -- always write CSR if valid access
848 27 zero_gravi
          when funct3_csrrs_c | funct3_csrrsi_c | funct3_csrrc_c | funct3_csrrci_c => -- CSRRS(I) / CSRRC(I)
849
            csr.we_nxt <= (not rs1_is_r0_v) and csr_acc_valid; -- write CSR if rs1/imm is not zero and if valid access
850 29 zero_gravi
          when others => -- invalid
851 27 zero_gravi
            csr.we_nxt <= '0';
852 2 zero_gravi
        end case;
853 27 zero_gravi
        -- register file write back --
854 12 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output
855 2 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
856 27 zero_gravi
        execute_engine.state_nxt  <= SYS_WAIT; -- have another cycle to let side-effects kick in (FIXME?)
857 2 zero_gravi
 
858 19 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation (shifter or CP) to finish
859 2 zero_gravi
      -- ------------------------------------------------------------
860 6 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "00"; -- RF input = ALU result
861 12 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back (permanent write-back)
862 29 zero_gravi
        -- cp access or alu shift? --
863
        if (execute_engine.is_cp_op = '1') then
864
          ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_cp_c;
865
        else
866
          ctrl_nxt(ctrl_alu_cmd2_c downto ctrl_alu_cmd0_c) <= alu_cmd_shift_c;
867 19 zero_gravi
        end if;
868
        -- wait for result --
869 6 zero_gravi
        if (alu_wait_i = '0') then
870 29 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
871 2 zero_gravi
        end if;
872
 
873 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
874
      -- ------------------------------------------------------------
875
        if (execute_engine.is_jump = '1') or (execute_engine.branch_taken = '1') then
876 29 zero_gravi
          execute_engine.pc_nxt     <= alu_res_i; -- branch/jump destination
877 20 zero_gravi
          fetch_engine.reset        <= '1'; -- trigger new instruction fetch from modified PC
878
          execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
879
          execute_engine.state_nxt  <= SYS_WAIT;
880 11 zero_gravi
        else
881
          execute_engine.state_nxt <= DISPATCH;
882 6 zero_gravi
        end if;
883
 
884 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
885 6 zero_gravi
      -- ------------------------------------------------------------
886 12 zero_gravi
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') then -- LOAD
887
          ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request
888
        else -- STORE
889
          ctrl_nxt(ctrl_bus_wr_c) <= '1'; -- write request
890
        end if;
891
        execute_engine.state_nxt <= LOADSTORE_1;
892 6 zero_gravi
 
893 12 zero_gravi
      when LOADSTORE_1 => -- memory latency
894 6 zero_gravi
      -- ------------------------------------------------------------
895
        ctrl_nxt(ctrl_bus_mdi_we_c) <= '1'; -- write input data to MDI (only relevant for LOAD)
896 12 zero_gravi
        execute_engine.state_nxt <= LOADSTORE_2;
897 6 zero_gravi
 
898 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
899 6 zero_gravi
      -- ------------------------------------------------------------
900
        ctrl_nxt(ctrl_bus_mdi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for LOAD)
901
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "01"; -- RF input = memory input (only relevant for LOAD)
902
        if (ma_load_i = '1') or (be_load_i = '1') or (ma_store_i = '1') or (be_store_i = '1') then -- abort if exception
903 7 zero_gravi
          execute_engine.state_nxt <= SYS_WAIT;
904 26 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
905 23 zero_gravi
          if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') then -- LOAD
906 6 zero_gravi
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
907
          end if;
908
          execute_engine.state_nxt <= DISPATCH;
909
        end if;
910
 
911 2 zero_gravi
      when others => -- undefined
912
      -- ------------------------------------------------------------
913 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
914 2 zero_gravi
 
915
    end case;
916 6 zero_gravi
  end process execute_engine_fsm_comb;
917 2 zero_gravi
 
918
 
919 15 zero_gravi
-- ****************************************************************************************************************************
920
-- Invalid Instruction / CSR access check
921
-- ****************************************************************************************************************************
922
 
923
 
924
  -- Illegal CSR Access Check ---------------------------------------------------------------
925
  -- -------------------------------------------------------------------------------------------
926 26 zero_gravi
  invalid_csr_access_check: process(execute_engine.i_reg, csr.privilege)
927 15 zero_gravi
    variable is_m_mode_v : std_ulogic;
928 30 zero_gravi
    variable csr_wacc_v  : std_ulogic; -- to check access to read-only CSRs
929
--  variable csr_racc_v  : std_ulogic; -- to check access to write-only CSRs
930 15 zero_gravi
  begin
931
    -- are we in machine mode? --
932 29 zero_gravi
    if (csr.privilege = priv_mode_m_c) then
933 15 zero_gravi
      is_m_mode_v := '1';
934 27 zero_gravi
    else
935
      is_m_mode_v := '0';
936 15 zero_gravi
    end if;
937
 
938 30 zero_gravi
    -- is this CSR instruction really going to write/read to/from a CSR? --
939
    if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
940
       (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then
941
      csr_wacc_v := '1'; -- always write CSR
942
--    csr_racc_v := or_all_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); -- read allowed if rd != 0
943
    else
944
      csr_wacc_v := or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c)); -- write allowed if rs1/uimm5 != 0
945
--    csr_racc_v := '1'; -- always read CSR
946
    end if;
947
 
948 15 zero_gravi
    -- check CSR access --
949 29 zero_gravi
    case execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) is
950 30 zero_gravi
      when csr_mstatus_c   => csr_acc_valid <= is_m_mode_v; -- M-mode only
951
      when csr_misa_c      => csr_acc_valid <= is_m_mode_v;-- and (not csr_wacc_v); -- M-mode only, MISA is read-only for the NEORV32 but we don't cause an exception here for compatibility
952
      when csr_mie_c       => csr_acc_valid <= is_m_mode_v; -- M-mode only
953
      when csr_mtvec_c     => csr_acc_valid <= is_m_mode_v; -- M-mode only
954
      when csr_mscratch_c  => csr_acc_valid <= is_m_mode_v; -- M-mode only
955
      when csr_mepc_c      => csr_acc_valid <= is_m_mode_v; -- M-mode only
956
      when csr_mcause_c    => csr_acc_valid <= is_m_mode_v; -- M-mode only
957
      when csr_mtval_c     => csr_acc_valid <= is_m_mode_v; -- M-mode only
958
      when csr_mip_c       => csr_acc_valid <= is_m_mode_v; -- M-mode only
959 15 zero_gravi
      --
960 30 zero_gravi
      when csr_pmpcfg0_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 1)) and is_m_mode_v; -- M-mode only
961
      when csr_pmpcfg1_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 5)) and is_m_mode_v; -- M-mode only
962 15 zero_gravi
      --
963 30 zero_gravi
      when csr_pmpaddr0_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 1)) and is_m_mode_v; -- M-mode only
964
      when csr_pmpaddr1_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 2)) and is_m_mode_v; -- M-mode only
965
      when csr_pmpaddr2_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 3)) and is_m_mode_v; -- M-mode only
966
      when csr_pmpaddr3_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 4)) and is_m_mode_v; -- M-mode only
967
      when csr_pmpaddr4_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 5)) and is_m_mode_v; -- M-mode only
968
      when csr_pmpaddr5_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 6)) and is_m_mode_v; -- M-mode only
969
      when csr_pmpaddr6_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 7)) and is_m_mode_v; -- M-mode only
970
      when csr_pmpaddr7_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 8)) and is_m_mode_v; -- M-mode only
971 15 zero_gravi
      --
972 30 zero_gravi
      when csr_mcycle_c    => csr_acc_valid <= is_m_mode_v; -- M-mode only
973
      when csr_minstret_c  => csr_acc_valid <= is_m_mode_v; -- M-mode only
974 15 zero_gravi
      --
975 30 zero_gravi
      when csr_mcycleh_c   => csr_acc_valid <= is_m_mode_v; -- M-mode only
976
      when csr_minstreth_c => csr_acc_valid <= is_m_mode_v; -- M-mode only
977 15 zero_gravi
      --
978 30 zero_gravi
      when csr_cycle_c     => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
979
      when csr_time_c      => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
980
      when csr_instret_c   => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
981 15 zero_gravi
      --
982 30 zero_gravi
      when csr_cycleh_c    => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
983
      when csr_timeh_c     => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
984
      when csr_instreth_c  => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
985 22 zero_gravi
      --
986 30 zero_gravi
      when csr_mvendorid_c => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
987
      when csr_marchid_c   => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
988
      when csr_mimpid_c    => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
989
      when csr_mhartid_c   => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
990 29 zero_gravi
      --
991 30 zero_gravi
      when csr_mzext_c     => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
992 29 zero_gravi
      --
993 23 zero_gravi
      when others => csr_acc_valid <= '0'; -- undefined, invalid access
994 15 zero_gravi
    end case;
995
  end process invalid_csr_access_check;
996
 
997
 
998 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
999
  -- -------------------------------------------------------------------------------------------
1000 26 zero_gravi
  illegal_instruction_check: process(execute_engine, csr_acc_valid)
1001 2 zero_gravi
  begin
1002 11 zero_gravi
    -- illegal instructions are checked in the EXECUTE stage
1003
    -- the execute engine will only commit valid instructions
1004 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
1005 2 zero_gravi
      -- defaults --
1006
      illegal_instruction <= '0';
1007
      illegal_register    <= '0';
1008
 
1009
      -- check instructions --
1010 6 zero_gravi
      case execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c) is
1011 2 zero_gravi
 
1012
        -- OPCODE check sufficient: LUI, UIPC, JAL --
1013
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c =>
1014
          illegal_instruction <= '0';
1015 23 zero_gravi
          -- illegal E-CPU register? --
1016
          if (CPU_EXTENSION_RISCV_E = true) and (execute_engine.i_reg(instr_rd_msb_c) = '1') then
1017
            illegal_register <= '1';
1018
          end if;
1019 2 zero_gravi
 
1020
        when opcode_alui_c => -- check ALUI funct7
1021 6 zero_gravi
          if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and
1022
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000")) or -- shift logical left
1023
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and
1024
              ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1025
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000"))) then -- shift right
1026 2 zero_gravi
            illegal_instruction <= '1';
1027
          else
1028
            illegal_instruction <= '0';
1029
          end if;
1030 23 zero_gravi
          -- illegal E-CPU register? --
1031
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1032
            illegal_register <= '1';
1033
          end if;
1034 2 zero_gravi
 
1035
        when opcode_load_c => -- check LOAD funct3
1036 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
1037
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
1038
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1039
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1040
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1041 2 zero_gravi
            illegal_instruction <= '0';
1042
          else
1043
            illegal_instruction <= '1';
1044
          end if;
1045 23 zero_gravi
          -- illegal E-CPU register? --
1046
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1047
            illegal_register <= '1';
1048
          end if;
1049 2 zero_gravi
 
1050
        when opcode_store_c => -- check STORE funct3
1051 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1052
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1053
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1054 2 zero_gravi
            illegal_instruction <= '0';
1055
          else
1056
            illegal_instruction <= '1';
1057
          end if;
1058 23 zero_gravi
          -- illegal E-CPU register? --
1059
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1060
            illegal_register <= '1';
1061
          end if;
1062 2 zero_gravi
 
1063
        when opcode_branch_c => -- check BRANCH funct3
1064 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1065
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1066
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1067
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1068
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1069
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1070 2 zero_gravi
            illegal_instruction <= '0';
1071
          else
1072
            illegal_instruction <= '1';
1073
          end if;
1074 23 zero_gravi
          -- illegal E-CPU register? --
1075
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1076
            illegal_register <= '1';
1077
          end if;
1078 2 zero_gravi
 
1079
        when opcode_jalr_c => -- check JALR funct3
1080 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1081 2 zero_gravi
            illegal_instruction <= '0';
1082
          else
1083
            illegal_instruction <= '1';
1084
          end if;
1085 23 zero_gravi
          -- illegal E-CPU register? --
1086
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1087
            illegal_register <= '1';
1088
          end if;
1089 2 zero_gravi
 
1090
        when opcode_alu_c => -- check ALU funct3 & funct7
1091 6 zero_gravi
          if (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then -- MULDIV
1092 11 zero_gravi
            if (CPU_EXTENSION_RISCV_M = false) then -- not implemented
1093 2 zero_gravi
              illegal_instruction <= '1';
1094
            end if;
1095 6 zero_gravi
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1096
                 (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and -- ADD/SUB or SRA/SRL check
1097
                ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1098
                 (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000")) then -- ADD/SUB or SRA/SRL select
1099 2 zero_gravi
            illegal_instruction <= '1';
1100
          else
1101
            illegal_instruction <= '0';
1102
          end if;
1103 23 zero_gravi
          -- illegal E-CPU register? --
1104
          if (CPU_EXTENSION_RISCV_E = true) and
1105
             ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1106
            illegal_register <= '1';
1107
          end if;
1108 2 zero_gravi
 
1109 8 zero_gravi
        when opcode_fence_c => -- fence instructions --
1110
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCE.I
1111
            illegal_instruction <= '0';
1112
          elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1113
            illegal_instruction <= '0';
1114
          else
1115
            illegal_instruction <= '1';
1116
          end if;
1117
 
1118 2 zero_gravi
        when opcode_syscsr_c => -- check system instructions --
1119
          -- CSR access --
1120 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1121
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1122
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1123
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1124
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1125
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c) then
1126 15 zero_gravi
            -- valid CSR access? --
1127
            if (csr_acc_valid = '1') then
1128 2 zero_gravi
              illegal_instruction <= '0';
1129
            else
1130
              illegal_instruction <= '1';
1131
            end if;
1132 23 zero_gravi
            -- illegal E-CPU register? --
1133
            if (CPU_EXTENSION_RISCV_E = true) then
1134
              if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR
1135
                illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1136
              else -- reg-imm CSR
1137
                illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1138
              end if;
1139
            end if;
1140 2 zero_gravi
 
1141
          -- ecall, ebreak, mret, wfi --
1142 6 zero_gravi
          elsif (execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c)  = "00000") and
1143
                (execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c) = "00000") then
1144 13 zero_gravi
            if (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1145 11 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1146 13 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_mret_c)   or -- MRET
1147
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_wfi_c) then  -- WFI
1148 2 zero_gravi
              illegal_instruction <= '0';
1149
            else
1150
              illegal_instruction <= '1';
1151
            end if;
1152
          else
1153
            illegal_instruction <= '1';
1154
          end if;
1155
 
1156
        when others => -- compressed instruction or undefined instruction
1157 6 zero_gravi
          if (execute_engine.i_reg(1 downto 0) = "11") then -- undefined/unimplemented opcode
1158 2 zero_gravi
            illegal_instruction <= '1';
1159
          end if;
1160
 
1161
      end case;
1162
    else
1163
      illegal_instruction <= '0';
1164
      illegal_register    <= '0';
1165
    end if;
1166
  end process illegal_instruction_check;
1167
 
1168
  -- any illegal condition? --
1169 6 zero_gravi
  trap_ctrl.instr_il <= illegal_instruction or illegal_register or illegal_compressed;
1170 2 zero_gravi
 
1171
 
1172 6 zero_gravi
-- ****************************************************************************************************************************
1173
-- Exception and Interrupt Control
1174
-- ****************************************************************************************************************************
1175 2 zero_gravi
 
1176
 
1177 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1178 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1179 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1180 2 zero_gravi
  begin
1181
    if (rstn_i = '0') then
1182 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1183
      trap_ctrl.irq_buf   <= (others => '0');
1184
      trap_ctrl.exc_ack   <= '0';
1185
      trap_ctrl.irq_ack   <= (others => '0');
1186
      trap_ctrl.cause     <= (others => '0');
1187
      trap_ctrl.env_start <= '0';
1188 2 zero_gravi
    elsif rising_edge(clk_i) then
1189
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1190
        -- exception buffer: misaligned load/store/instruction address
1191 6 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c)    <= (trap_ctrl.exc_buf(exception_lalign_c)    or ma_load_i)             and (not trap_ctrl.exc_ack);
1192
        trap_ctrl.exc_buf(exception_salign_c)    <= (trap_ctrl.exc_buf(exception_salign_c)    or ma_store_i)            and (not trap_ctrl.exc_ack);
1193
        trap_ctrl.exc_buf(exception_ialign_c)    <= (trap_ctrl.exc_buf(exception_ialign_c)    or trap_ctrl.instr_ma)    and (not trap_ctrl.exc_ack);
1194 2 zero_gravi
        -- exception buffer: load/store/instruction bus access error
1195 6 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c)   <= (trap_ctrl.exc_buf(exception_laccess_c)   or be_load_i)             and (not trap_ctrl.exc_ack);
1196
        trap_ctrl.exc_buf(exception_saccess_c)   <= (trap_ctrl.exc_buf(exception_saccess_c)   or be_store_i)            and (not trap_ctrl.exc_ack);
1197
        trap_ctrl.exc_buf(exception_iaccess_c)   <= (trap_ctrl.exc_buf(exception_iaccess_c)   or trap_ctrl.instr_be)    and (not trap_ctrl.exc_ack);
1198 2 zero_gravi
        -- exception buffer: illegal instruction / env call / break point
1199 6 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or trap_ctrl.env_call)    and (not trap_ctrl.exc_ack);
1200
        trap_ctrl.exc_buf(exception_break_c)     <= (trap_ctrl.exc_buf(exception_break_c)     or trap_ctrl.break_point) and (not trap_ctrl.exc_ack);
1201
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)    and (not trap_ctrl.exc_ack);
1202 18 zero_gravi
        -- interrupt buffer: machine software/external/timer interrupt
1203 14 zero_gravi
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and (trap_ctrl.irq_buf(interrupt_msw_irq_c)   or msw_irq_i)   and (not trap_ctrl.irq_ack(interrupt_msw_irq_c));
1204
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and (trap_ctrl.irq_buf(interrupt_mext_irq_c)  or mext_irq_i)  and (not trap_ctrl.irq_ack(interrupt_mext_irq_c));
1205
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and (trap_ctrl.irq_buf(interrupt_mtime_irq_c) or mtime_irq_i) and (not trap_ctrl.irq_ack(interrupt_mtime_irq_c));
1206 18 zero_gravi
        -- interrupt buffer: custom fast interrupts
1207 14 zero_gravi
        trap_ctrl.irq_buf(interrupt_firq_0_c)    <= csr.mie_firqe(0) and (trap_ctrl.irq_buf(interrupt_firq_0_c) or firq_i(0)) and (not trap_ctrl.irq_ack(interrupt_firq_0_c));
1208
        trap_ctrl.irq_buf(interrupt_firq_1_c)    <= csr.mie_firqe(1) and (trap_ctrl.irq_buf(interrupt_firq_1_c) or firq_i(1)) and (not trap_ctrl.irq_ack(interrupt_firq_1_c));
1209
        trap_ctrl.irq_buf(interrupt_firq_2_c)    <= csr.mie_firqe(2) and (trap_ctrl.irq_buf(interrupt_firq_2_c) or firq_i(2)) and (not trap_ctrl.irq_ack(interrupt_firq_2_c));
1210
        trap_ctrl.irq_buf(interrupt_firq_3_c)    <= csr.mie_firqe(3) and (trap_ctrl.irq_buf(interrupt_firq_3_c) or firq_i(3)) and (not trap_ctrl.irq_ack(interrupt_firq_3_c));
1211 2 zero_gravi
 
1212 6 zero_gravi
        -- trap control --
1213
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1214 11 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- exception/IRQ detected!
1215 13 zero_gravi
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP))) then -- sample IRQs in EXECUTE or TRAP state only -> continue execution even if permanent IRQ
1216
            trap_ctrl.cause     <= trap_ctrl.cause_nxt;   -- capture source ID for program (for mcause csr)
1217 7 zero_gravi
            trap_ctrl.exc_ack   <= '1';                   -- clear execption
1218
            trap_ctrl.irq_ack   <= trap_ctrl.irq_ack_nxt; -- capture and clear with interrupt ACK mask
1219 13 zero_gravi
            trap_ctrl.env_start <= '1';                   -- now execute engine can start trap handler
1220 2 zero_gravi
          end if;
1221 6 zero_gravi
        else -- trap waiting to get started
1222
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1223
            trap_ctrl.exc_ack   <= '0';
1224
            trap_ctrl.irq_ack   <= (others => '0');
1225
            trap_ctrl.env_start <= '0';
1226 2 zero_gravi
          end if;
1227
        end if;
1228
      end if;
1229
    end if;
1230 6 zero_gravi
  end process trap_controller;
1231 2 zero_gravi
 
1232
  -- any exception/interrupt? --
1233 27 zero_gravi
  trap_ctrl.exc_fire <= or_all_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked
1234
  trap_ctrl.irq_fire <= or_all_f(trap_ctrl.irq_buf) and csr.mstatus_mie; -- interrupts CAN be masked
1235 2 zero_gravi
 
1236
 
1237 6 zero_gravi
  -- Trap Priority Detector -----------------------------------------------------------------
1238
  -- -------------------------------------------------------------------------------------------
1239
  trap_priority: process(trap_ctrl)
1240 2 zero_gravi
  begin
1241
    -- defaults --
1242 6 zero_gravi
    trap_ctrl.cause_nxt   <= (others => '0');
1243
    trap_ctrl.irq_ack_nxt <= (others => '0');
1244 2 zero_gravi
 
1245 9 zero_gravi
    -- the following traps are caused by asynchronous exceptions (-> interrupts)
1246 12 zero_gravi
    -- here we do need a specific acknowledge mask since several sources can trigger at once
1247 9 zero_gravi
 
1248 2 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1249 6 zero_gravi
    if (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1250 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mei_c;
1251 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mext_irq_c) <= '1';
1252 2 zero_gravi
 
1253
    -- interrupt: 1.7 machine timer interrupt --
1254 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then
1255 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mti_c;
1256 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mtime_irq_c) <= '1';
1257 2 zero_gravi
 
1258
    -- interrupt: 1.3 machine SW interrupt --
1259 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1260 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_msi_c;
1261 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_msw_irq_c) <= '1';
1262 2 zero_gravi
 
1263
 
1264 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1265
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1266
      trap_ctrl.cause_nxt <= trap_firq0_c;
1267
      trap_ctrl.irq_ack_nxt(interrupt_firq_0_c) <= '1';
1268
 
1269
    -- interrupt: 1.17 fast interrupt channel 1 --
1270
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1271
      trap_ctrl.cause_nxt <= trap_firq1_c;
1272
      trap_ctrl.irq_ack_nxt(interrupt_firq_1_c) <= '1';
1273
 
1274
    -- interrupt: 1.18 fast interrupt channel 2 --
1275
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1276
      trap_ctrl.cause_nxt <= trap_firq2_c;
1277
      trap_ctrl.irq_ack_nxt(interrupt_firq_2_c) <= '1';
1278
 
1279
    -- interrupt: 1.19 fast interrupt channel 3 --
1280
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1281
      trap_ctrl.cause_nxt <= trap_firq3_c;
1282
      trap_ctrl.irq_ack_nxt(interrupt_firq_3_c) <= '1';
1283
 
1284
 
1285 4 zero_gravi
    -- the following traps are caused by synchronous exceptions
1286 12 zero_gravi
    -- here we do not need a specific acknowledge mask since only one exception (the one
1287 9 zero_gravi
    -- with highest priority) can trigger at once
1288 4 zero_gravi
 
1289 2 zero_gravi
    -- trap/fault: 0.1 instruction access fault --
1290 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1291 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iba_c;
1292 2 zero_gravi
 
1293
    -- trap/fault: 0.2 illegal instruction --
1294 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1295 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iil_c;
1296 2 zero_gravi
 
1297 12 zero_gravi
    -- trap/fault: 0.0 instruction address misaligned --
1298
    elsif (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1299
      trap_ctrl.cause_nxt <= trap_ima_c;
1300 2 zero_gravi
 
1301 12 zero_gravi
 
1302 2 zero_gravi
    -- trap/fault: 0.11 environment call from M-mode --
1303 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1304 14 zero_gravi
      trap_ctrl.cause_nxt <= trap_menv_c;
1305 2 zero_gravi
 
1306
    -- trap/fault: 0.3 breakpoint --
1307 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1308 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_brk_c;
1309 2 zero_gravi
 
1310
 
1311
    -- trap/fault: 0.6 store address misaligned -
1312 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1313 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sma_c;
1314 2 zero_gravi
 
1315
    -- trap/fault: 0.4 load address misaligned --
1316 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1317 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lma_c;
1318 2 zero_gravi
 
1319
    -- trap/fault: 0.7 store access fault --
1320 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1321 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sbe_c;
1322 2 zero_gravi
 
1323
    -- trap/fault: 0.5 load access fault --
1324 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1325 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lbe_c;
1326 2 zero_gravi
 
1327
    -- undefined / not implemented --
1328
    else
1329 6 zero_gravi
      trap_ctrl.cause_nxt   <= (others => '0');
1330
      trap_ctrl.irq_ack_nxt <= (others => '0');
1331 2 zero_gravi
    end if;
1332 6 zero_gravi
  end process trap_priority;
1333
 
1334 2 zero_gravi
 
1335 6 zero_gravi
-- ****************************************************************************************************************************
1336
-- Control and Status Registers (CSRs)
1337
-- ****************************************************************************************************************************
1338 2 zero_gravi
 
1339 27 zero_gravi
  -- Control and Status Registers Write Data ------------------------------------------------
1340
  -- -------------------------------------------------------------------------------------------
1341
  csr_write_data: process(execute_engine.i_reg, csr.rdata, alu_res_i)
1342
  begin
1343 29 zero_gravi
    -- "mini ALU" for CSR update operations --
1344 27 zero_gravi
    case execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c) is
1345
      when "10"   => csr.wdata <= csr.rdata or alu_res_i; -- CSRRS(I)
1346
      when "11"   => csr.wdata <= csr.rdata and (not alu_res_i); -- CSRRC(I)
1347
      when others => csr.wdata <= alu_res_i; -- CSRRW(I)
1348
    end case;
1349
  end process csr_write_data;
1350
 
1351
 
1352 2 zero_gravi
  -- Control and Status Registers Write Access ----------------------------------------------
1353
  -- -------------------------------------------------------------------------------------------
1354
  csr_write_access: process(rstn_i, clk_i)
1355
  begin
1356
    if (rstn_i = '0') then
1357 11 zero_gravi
      csr.we <= '0';
1358
      --
1359 6 zero_gravi
      csr.mstatus_mie  <= '0';
1360
      csr.mstatus_mpie <= '0';
1361 29 zero_gravi
      csr.mstatus_mpp  <= priv_mode_m_c; -- start in MACHINE mode
1362
      csr.privilege    <= priv_mode_m_c; -- start in MACHINE mode
1363 6 zero_gravi
      csr.mie_msie     <= '0';
1364
      csr.mie_meie     <= '0';
1365
      csr.mie_mtie     <= '0';
1366 14 zero_gravi
      csr.mie_firqe    <= (others => '0');
1367 6 zero_gravi
      csr.mtvec        <= (others => '0');
1368 12 zero_gravi
      csr.mscratch     <= (others => '0');
1369
      csr.mepc         <= (others => '0');
1370
      csr.mcause       <= (others => '0');
1371 6 zero_gravi
      csr.mtval        <= (others => '0');
1372 15 zero_gravi
      csr.pmpcfg       <= (others => (others => '0'));
1373
      csr.pmpaddr      <= (others => (others => '0'));
1374 2 zero_gravi
    elsif rising_edge(clk_i) then
1375 11 zero_gravi
 
1376 29 zero_gravi
      -- write access? --
1377
      csr.we <= csr.we_nxt;
1378 4 zero_gravi
 
1379 29 zero_gravi
      -- --------------------------------------------------------------------------------
1380
      -- CSRs that can be written by application software only
1381
      -- --------------------------------------------------------------------------------
1382
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.we = '1') then -- manual update
1383
 
1384
        -- machine CSRs --
1385
        if (execute_engine.i_reg(31 downto 28) = csr_mie_c(11 downto 8)) then
1386
 
1387
          -- machine trap setup --
1388
          if (execute_engine.i_reg(27 downto 24) = csr_mie_c(7 downto 4)) then
1389
            if (execute_engine.i_reg(23 downto 20) = csr_mie_c(3 downto 0)) then -- R/W: mie - machine interrupt-enable register
1390
              csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable
1391
              csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable
1392
              csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable
1393
              --
1394
              csr.mie_firqe(0) <= csr.wdata(16); -- fast interrupt channel 0
1395
              csr.mie_firqe(1) <= csr.wdata(17); -- fast interrupt channel 1
1396
              csr.mie_firqe(2) <= csr.wdata(18); -- fast interrupt channel 2
1397
              csr.mie_firqe(3) <= csr.wdata(19); -- fast interrupt channel 3
1398 4 zero_gravi
            end if;
1399 29 zero_gravi
            if (execute_engine.i_reg(23 downto 20) = csr_mtvec_c(3 downto 0)) then -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1400
              csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1401 4 zero_gravi
            end if;
1402 29 zero_gravi
          end if;
1403
 
1404
          -- machine trap handling --
1405
          if (execute_engine.i_reg(27 downto 20) = csr_mscratch_c(7 downto 0)) then -- R/W: mscratch - machine scratch register
1406
            csr.mscratch <= csr.wdata;
1407
          end if;
1408
 
1409
          -- machine physical memory protection (pmp) --
1410
          if (PMP_USE = true) then
1411
            -- pmpcfg --
1412
            if (execute_engine.i_reg(27 downto 24) = csr_pmpcfg0_c(7 downto 4)) then
1413
              if (PMP_NUM_REGIONS >= 1) then
1414
                if (execute_engine.i_reg(23 downto 20) = csr_pmpcfg0_c(3 downto 0)) then -- pmpcfg0
1415
                  for j in 0 to 3 loop -- bytes in pmpcfg CSR
1416
                    if ((j+1) <= PMP_NUM_REGIONS) then
1417
                      if (csr.pmpcfg(0+j)(7) = '0') then -- unlocked pmpcfg access
1418
                        csr.pmpcfg(0+j)(0) <= csr.wdata(j*8+0); -- R
1419
                        csr.pmpcfg(0+j)(1) <= csr.wdata(j*8+1); -- W
1420
                        csr.pmpcfg(0+j)(2) <= csr.wdata(j*8+2); -- X
1421
                        csr.pmpcfg(0+j)(3) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_L
1422
                        csr.pmpcfg(0+j)(4) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_H - NAPOT/OFF only
1423
                        csr.pmpcfg(0+j)(5) <= '0'; -- reserved
1424
                        csr.pmpcfg(0+j)(6) <= '0'; -- reserved
1425
                        csr.pmpcfg(0+j)(7) <= csr.wdata(j*8+7); -- L
1426 15 zero_gravi
                      end if;
1427 29 zero_gravi
                    end if;
1428
                  end loop; -- j (bytes in CSR)
1429 15 zero_gravi
                end if;
1430 29 zero_gravi
              end if;
1431
              if (PMP_NUM_REGIONS >= 5) then
1432
                if (execute_engine.i_reg(23 downto 20) = csr_pmpcfg1_c(3 downto 0)) then -- pmpcfg1
1433
                  for j in 0 to 3 loop -- bytes in pmpcfg CSR
1434
                    if ((j+1+4) <= PMP_NUM_REGIONS) then
1435
                      if (csr.pmpcfg(4+j)(7) = '0') then -- unlocked pmpcfg access
1436
                        csr.pmpcfg(4+j)(0) <= csr.wdata(j*8+0); -- R
1437
                        csr.pmpcfg(4+j)(1) <= csr.wdata(j*8+1); -- W
1438
                        csr.pmpcfg(4+j)(2) <= csr.wdata(j*8+2); -- X
1439
                        csr.pmpcfg(4+j)(3) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_L
1440
                        csr.pmpcfg(4+j)(4) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_H - NAPOT/OFF only
1441
                        csr.pmpcfg(4+j)(5) <= '0'; -- reserved
1442
                        csr.pmpcfg(4+j)(6) <= '0'; -- reserved
1443
                        csr.pmpcfg(4+j)(7) <= csr.wdata(j*8+7); -- L
1444 15 zero_gravi
                      end if;
1445 29 zero_gravi
                    end if;
1446
                  end loop; -- j (bytes in CSR)
1447 15 zero_gravi
                end if;
1448
              end if;
1449 29 zero_gravi
            end if;
1450
            -- pmpaddr --
1451
            if (execute_engine.i_reg(27 downto 24) = csr_pmpaddr0_c(7 downto 4)) then
1452
              for i in 0 to PMP_NUM_REGIONS-1 loop
1453
                if (execute_engine.i_reg(23 downto 20) = std_ulogic_vector(to_unsigned(i, 4))) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
1454
                  csr.pmpaddr(i) <= csr.wdata(31 downto 1) & '0'; -- min granularity is 8 bytes -> bit zero cannot be configured
1455
                end if;
1456
              end loop; -- i (CSRs)
1457
            end if;
1458
          end if; -- implement PMP at all?
1459
        end if;
1460 4 zero_gravi
 
1461 29 zero_gravi
      end if;
1462 2 zero_gravi
 
1463 29 zero_gravi
      -- --------------------------------------------------------------------------------
1464
      -- CSRs that can be written by application and hardware (application access)
1465
      -- --------------------------------------------------------------------------------
1466
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.we = '1') then -- manual update
1467
 
1468
        -- machine CSRs --
1469
        if (execute_engine.i_reg(31 downto 28) = csr_mstatus_c(11 downto 8)) then
1470
 
1471
          -- machine trap setup --
1472
          if (execute_engine.i_reg(27 downto 20) = csr_mstatus_c(7 downto 0)) then -- R/W: mstatus - machine status register
1473
            csr.mstatus_mie  <= csr.wdata(03);
1474
            csr.mstatus_mpie <= csr.wdata(07);
1475
            --
1476
            if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
1477
              csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12);
1478
              csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12);
1479 2 zero_gravi
            end if;
1480
          end if;
1481
 
1482 29 zero_gravi
          -- machine trap handling --
1483
          if (execute_engine.i_reg(27 downto 24) = csr_mepc_c(7 downto 4)) then
1484
            if (execute_engine.i_reg(23 downto 20) = csr_mepc_c(3 downto 0)) then -- R/W: mepc - machine exception program counter
1485
              csr.mepc <= csr.wdata(data_width_c-1 downto 1) & '0';
1486 2 zero_gravi
            end if;
1487 30 zero_gravi
            if (execute_engine.i_reg(23 downto 20) = csr_mcause_c(3 downto 0)) then -- R/W: mcause - machine trap cause
1488
              csr.mcause <= (others => '0');
1489
              csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: interrupt, 0: exception
1490
              csr.mcause(4 downto 0)      <= csr.wdata(4 downto 0); -- identifier
1491
            end if;
1492 29 zero_gravi
            if (execute_engine.i_reg(23 downto 20) = csr_mtval_c(3 downto 0)) then -- R/W: mtval - machine bad address or instruction
1493
              csr.mtval <= csr.wdata;
1494 15 zero_gravi
            end if;
1495 2 zero_gravi
          end if;
1496 9 zero_gravi
 
1497 29 zero_gravi
        end if;
1498
 
1499
      -- --------------------------------------------------------------------------------
1500
      -- CSRs that can be written by application and hardware (hardware access)
1501
      -- --------------------------------------------------------------------------------
1502
      else -- hardware update
1503
 
1504
        -- mepc & mtval: machine exception PC & machine trap value register --
1505
        if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
1506
          if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS (is mcause(31))
1507
            csr.mepc  <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
1508
            csr.mtval <= (others => '0'); -- mtval is zero for interrupts
1509
          else -- for EXCEPTIONS (according to their priority)
1510
            csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
1511
            if (trap_ctrl.cause(4 downto 0) = trap_iba_c(4 downto 0)) or -- instruction access error OR
1512
               (trap_ctrl.cause(4 downto 0) = trap_ima_c(4 downto 0)) or -- misaligned instruction address OR
1513
               (trap_ctrl.cause(4 downto 0) = trap_brk_c(4 downto 0)) or -- breakpoint OR
1514
               (trap_ctrl.cause(4 downto 0) = trap_menv_c(4 downto 0)) then -- environment call
1515
              csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
1516
            elsif (trap_ctrl.cause(4 downto 0) = trap_iil_c(4 downto 0)) then -- illegal instruction
1517
              csr.mtval <= execute_engine.i_reg; -- faulting instruction itself
1518
            else -- load/store misalignments/access errors
1519
              csr.mtval <= mar_i; -- faulting data access address
1520
            end if;
1521 15 zero_gravi
          end if;
1522 2 zero_gravi
        end if;
1523 29 zero_gravi
 
1524
        -- mstatus: context switch --
1525
        if (trap_ctrl.env_start_ack = '1') then -- ENTER: trap handler starting?
1526 30 zero_gravi
          -- trap ID code --
1527
          csr.mcause <= (others => '0');
1528
          csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception
1529
          csr.mcause(4 downto 0)      <= trap_ctrl.cause(4 downto 0); -- identifier
1530
          --
1531 29 zero_gravi
          csr.mstatus_mie  <= '0'; -- disable interrupts
1532
          csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
1533
          if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1534
            csr.privilege   <= priv_mode_m_c; -- execute trap in machine mode
1535
            csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode
1536
          end if;
1537
        elsif (trap_ctrl.env_end = '1') then -- EXIT: return from exception
1538
          csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
1539
          csr.mstatus_mpie <= '1';
1540
          if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1541
            csr.privilege   <= csr.mstatus_mpp; -- go back to previous privilege mode
1542
            csr.mstatus_mpp <= priv_mode_u_c;
1543
          end if;
1544
        end if;
1545
        -- user mode NOT implemented --
1546
        if (CPU_EXTENSION_RISCV_U = false) then
1547
          csr.privilege   <= priv_mode_m_c;
1548
          csr.mstatus_mpp <= priv_mode_m_c;
1549
        end if;
1550 2 zero_gravi
      end if;
1551 29 zero_gravi
 
1552 2 zero_gravi
    end if;
1553
  end process csr_write_access;
1554
 
1555
 
1556
  -- Control and Status Registers Read Access -----------------------------------------------
1557
  -- -------------------------------------------------------------------------------------------
1558
  csr_read_access: process(clk_i)
1559
  begin
1560
    if rising_edge(clk_i) then
1561 27 zero_gravi
      csr.rdata <= (others => '0'); -- default
1562 29 zero_gravi
      csr.re    <= csr.re_nxt; -- read access?
1563 11 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.re = '1') then
1564 29 zero_gravi
        case execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) is
1565 11 zero_gravi
 
1566
          -- machine trap setup --
1567 29 zero_gravi
          when csr_mstatus_c => -- R/W: mstatus - machine status register
1568 27 zero_gravi
            csr.rdata(03) <= csr.mstatus_mie;  -- MIE
1569
            csr.rdata(07) <= csr.mstatus_mpie; -- MPIE
1570 29 zero_gravi
            csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low
1571
            csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high
1572
          when csr_misa_c => -- R/-: misa - ISA and extensions
1573 27 zero_gravi
            csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
1574
            csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
1575
            csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
1576
            csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
1577
            csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
1578
            csr.rdata(23) <= '1';                                         -- X CPU extension (non-std extensions)
1579
            csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo)
1580
            csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi)
1581 29 zero_gravi
          when csr_mie_c => -- R/W: mie - machine interrupt-enable register
1582 27 zero_gravi
            csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable
1583
            csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable
1584
            csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable
1585 14 zero_gravi
            --
1586 27 zero_gravi
            csr.rdata(16) <= csr.mie_firqe(0); -- fast interrupt channel 0
1587
            csr.rdata(17) <= csr.mie_firqe(1); -- fast interrupt channel 1
1588
            csr.rdata(18) <= csr.mie_firqe(2); -- fast interrupt channel 2
1589
            csr.rdata(19) <= csr.mie_firqe(3); -- fast interrupt channel 3
1590 29 zero_gravi
          when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1591 27 zero_gravi
            csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1592 11 zero_gravi
 
1593
          -- machine trap handling --
1594 29 zero_gravi
          when csr_mscratch_c => -- R/W: mscratch - machine scratch register
1595 27 zero_gravi
            csr.rdata <= csr.mscratch;
1596 29 zero_gravi
          when csr_mepc_c => -- R/W: mepc - machine exception program counter
1597 27 zero_gravi
            csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0';
1598 29 zero_gravi
          when csr_mcause_c => -- R/-: mcause - machine trap cause
1599 27 zero_gravi
            csr.rdata <= csr.mcause;
1600 29 zero_gravi
          when csr_mtval_c => -- R/W: mtval - machine bad address or instruction
1601 27 zero_gravi
            csr.rdata <= csr.mtval;
1602 29 zero_gravi
          when csr_mip_c => -- R/W: mip - machine interrupt pending
1603 27 zero_gravi
            csr.rdata(03) <= trap_ctrl.irq_buf(interrupt_msw_irq_c);
1604
            csr.rdata(07) <= trap_ctrl.irq_buf(interrupt_mtime_irq_c);
1605
            csr.rdata(11) <= trap_ctrl.irq_buf(interrupt_mext_irq_c);
1606 14 zero_gravi
            --
1607 27 zero_gravi
            csr.rdata(16) <= trap_ctrl.irq_buf(interrupt_firq_0_c);
1608
            csr.rdata(17) <= trap_ctrl.irq_buf(interrupt_firq_1_c);
1609
            csr.rdata(18) <= trap_ctrl.irq_buf(interrupt_firq_2_c);
1610
            csr.rdata(19) <= trap_ctrl.irq_buf(interrupt_firq_3_c);
1611 11 zero_gravi
 
1612 15 zero_gravi
          -- physical memory protection --
1613 29 zero_gravi
          when csr_pmpcfg0_c => -- R/W: pmpcfg0 - physical memory protection configuration register 0
1614 15 zero_gravi
            if (PMP_USE = true) then
1615
              if (PMP_NUM_REGIONS >= 1) then
1616 27 zero_gravi
                csr.rdata(07 downto 00) <= csr.pmpcfg(0);
1617 15 zero_gravi
              end if;
1618
              if (PMP_NUM_REGIONS >= 2) then
1619 27 zero_gravi
                csr.rdata(15 downto 08) <= csr.pmpcfg(1);
1620 15 zero_gravi
              end if;
1621
              if (PMP_NUM_REGIONS >= 3) then
1622 27 zero_gravi
                csr.rdata(23 downto 16) <= csr.pmpcfg(2);
1623 15 zero_gravi
              end if;
1624
              if (PMP_NUM_REGIONS >= 4) then
1625 27 zero_gravi
                csr.rdata(31 downto 24) <= csr.pmpcfg(3);
1626 15 zero_gravi
              end if;
1627
            end if;
1628 29 zero_gravi
          when csr_pmpcfg1_c => -- R/W: pmpcfg1 - physical memory protection configuration register 1
1629 15 zero_gravi
            if (PMP_USE = true) then
1630
              if (PMP_NUM_REGIONS >= 5) then
1631 27 zero_gravi
                csr.rdata(07 downto 00) <= csr.pmpcfg(4);
1632 15 zero_gravi
              end if;
1633
              if (PMP_NUM_REGIONS >= 6) then
1634 27 zero_gravi
                csr.rdata(15 downto 08) <= csr.pmpcfg(5);
1635 15 zero_gravi
              end if;
1636
              if (PMP_NUM_REGIONS >= 7) then
1637 27 zero_gravi
                csr.rdata(23 downto 16) <= csr.pmpcfg(6);
1638 15 zero_gravi
              end if;
1639
              if (PMP_NUM_REGIONS >= 8) then
1640 27 zero_gravi
                csr.rdata(31 downto 24) <= csr.pmpcfg(7);
1641 15 zero_gravi
              end if;
1642
            end if;
1643
 
1644 29 zero_gravi
          when csr_pmpaddr0_c => -- R/W: pmpaddr0 - physical memory protection address register 0
1645 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 1) then
1646 27 zero_gravi
              csr.rdata <= csr.pmpaddr(0);
1647 15 zero_gravi
              if (csr.pmpcfg(0)(4 downto 3) = "00") then -- mode = off
1648 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1649 15 zero_gravi
              else -- mode = NAPOT
1650 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1651 15 zero_gravi
              end if;
1652
            end if;
1653 29 zero_gravi
          when csr_pmpaddr1_c => -- R/W: pmpaddr1 - physical memory protection address register 1
1654 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 2) then
1655 27 zero_gravi
              csr.rdata <= csr.pmpaddr(1);
1656 15 zero_gravi
              if (csr.pmpcfg(1)(4 downto 3) = "00") then -- mode = off
1657 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1658 15 zero_gravi
              else -- mode = NAPOT
1659 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1660 15 zero_gravi
              end if;
1661
            end if;
1662 29 zero_gravi
          when csr_pmpaddr2_c => -- R/W: pmpaddr2 - physical memory protection address register 2
1663 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 3) then
1664 27 zero_gravi
              csr.rdata <= csr.pmpaddr(2);
1665 15 zero_gravi
              if (csr.pmpcfg(2)(4 downto 3) = "00") then -- mode = off
1666 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1667 15 zero_gravi
              else -- mode = NAPOT
1668 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1669 15 zero_gravi
              end if;
1670
            end if;
1671 29 zero_gravi
          when csr_pmpaddr3_c => -- R/W: pmpaddr3 - physical memory protection address register 3
1672 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 4) then
1673 27 zero_gravi
              csr.rdata <= csr.pmpaddr(3);
1674 15 zero_gravi
              if (csr.pmpcfg(3)(4 downto 3) = "00") then -- mode = off
1675 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1676 15 zero_gravi
              else -- mode = NAPOT
1677 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1678 15 zero_gravi
              end if;
1679
            end if;
1680 29 zero_gravi
          when csr_pmpaddr4_c => -- R/W: pmpaddr4 - physical memory protection address register 4
1681 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 5) then
1682 27 zero_gravi
              csr.rdata <= csr.pmpaddr(4);
1683 15 zero_gravi
              if (csr.pmpcfg(4)(4 downto 3) = "00") then -- mode = off
1684 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1685 15 zero_gravi
              else -- mode = NAPOT
1686 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1687 15 zero_gravi
              end if;
1688
            end if;
1689 29 zero_gravi
          when csr_pmpaddr5_c => -- R/W: pmpaddr5 - physical memory protection address register 5
1690 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 6) then
1691 27 zero_gravi
              csr.rdata <= csr.pmpaddr(5);
1692 15 zero_gravi
              if (csr.pmpcfg(5)(4 downto 3) = "00") then -- mode = off
1693 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1694 15 zero_gravi
              else -- mode = NAPOT
1695 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1696 15 zero_gravi
              end if;
1697
            end if;
1698 29 zero_gravi
          when csr_pmpaddr6_c => -- R/W: pmpaddr6 - physical memory protection address register 6
1699 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 7) then
1700 27 zero_gravi
              csr.rdata <= csr.pmpaddr(6);
1701 15 zero_gravi
              if (csr.pmpcfg(6)(4 downto 3) = "00") then -- mode = off
1702 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1703 15 zero_gravi
              else -- mode = NAPOT
1704 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1705 15 zero_gravi
              end if;
1706
            end if;
1707 29 zero_gravi
          when csr_pmpaddr7_c => -- R/W: pmpaddr7 - physical memory protection address register 7
1708 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 8) then
1709 27 zero_gravi
              csr.rdata <= csr.pmpaddr(7);
1710 15 zero_gravi
              if (csr.pmpcfg(7)(4 downto 3) = "00") then -- mode = off
1711 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1712 15 zero_gravi
              else -- mode = NAPOT
1713 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1714 15 zero_gravi
              end if;
1715
            end if;
1716
 
1717 29 zero_gravi
          -- counters and timers --
1718
          when csr_cycle_c | csr_mcycle_c => -- R/(W): [m]cycle: Cycle counter LOW
1719 27 zero_gravi
            csr.rdata <= csr.mcycle(31 downto 0);
1720 29 zero_gravi
          when csr_time_c => -- R/-: time: System time LOW (from MTIME unit)
1721 27 zero_gravi
            csr.rdata <= time_i(31 downto 0);
1722 29 zero_gravi
          when csr_instret_c | csr_minstret_c => -- R/(W): [m]instret: Instructions-retired counter LOW
1723 27 zero_gravi
            csr.rdata <= csr.minstret(31 downto 0);
1724 29 zero_gravi
          when csr_cycleh_c | csr_mcycleh_c => -- R/(W): [m]cycleh: Cycle counter HIGH
1725 27 zero_gravi
            csr.rdata <= csr.mcycleh(31 downto 0);
1726 29 zero_gravi
          when csr_timeh_c => -- R/-: timeh: System time HIGH (from MTIME unit)
1727 27 zero_gravi
            csr.rdata <= time_i(63 downto 32);
1728 29 zero_gravi
          when csr_instreth_c | csr_minstreth_c => -- R/(W): [m]instreth: Instructions-retired counter HIGH
1729 27 zero_gravi
            csr.rdata <= csr.minstreth(31 downto 0);
1730 11 zero_gravi
 
1731
          -- machine information registers --
1732 29 zero_gravi
          when csr_mvendorid_c => -- R/-: mvendorid - vendor ID
1733 27 zero_gravi
            csr.rdata <= (others => '0');
1734 29 zero_gravi
          when csr_marchid_c => -- R/-: marchid - architecture ID
1735 27 zero_gravi
            csr.rdata <= (others => '0');
1736 29 zero_gravi
          when csr_mimpid_c => -- R/-: mimpid - implementation ID / NEORV32 hardware version
1737 27 zero_gravi
            csr.rdata <= hw_version_c;
1738 29 zero_gravi
          when csr_mhartid_c => -- R/-: mhartid - hardware thread ID
1739 27 zero_gravi
            csr.rdata <= HW_THREAD_ID;
1740 11 zero_gravi
 
1741 22 zero_gravi
          -- custom machine read-only CSRs --
1742 29 zero_gravi
          when csr_mzext_c => -- R/-: mzext
1743 27 zero_gravi
            csr.rdata(0) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr);    -- Zicsr CPU extension
1744
            csr.rdata(1) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- Zifencei CPU extension
1745 22 zero_gravi
 
1746 11 zero_gravi
          -- undefined/unavailable --
1747
          when others =>
1748 27 zero_gravi
            csr.rdata <= (others => '0'); -- not implemented
1749 11 zero_gravi
 
1750
        end case;
1751 2 zero_gravi
      end if;
1752
    end if;
1753
  end process csr_read_access;
1754
 
1755 27 zero_gravi
  -- CSR read data output --
1756
  csr_rdata_o <= csr.rdata;
1757
 
1758 15 zero_gravi
  -- CPU's current privilege level --
1759
  priv_mode_o <= csr.privilege;
1760 12 zero_gravi
 
1761 15 zero_gravi
  -- PMP output --
1762
  pmp_output: process(csr)
1763
  begin
1764
    pmp_addr_o <= (others => (others => '0'));
1765
    pmp_ctrl_o <= (others => (others => '0'));
1766
    if (PMP_USE = true) then
1767
      for i in 0 to PMP_NUM_REGIONS-1 loop
1768
        pmp_addr_o(i) <= csr.pmpaddr(i) & "00";
1769
        pmp_ctrl_o(i) <= csr.pmpcfg(i);
1770
      end loop; -- i
1771
    end if;
1772
  end process pmp_output;
1773
 
1774
 
1775 6 zero_gravi
  -- RISC-V Counter CSRs --------------------------------------------------------------------
1776 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1777
  csr_counters: process(rstn_i, clk_i)
1778
  begin
1779 6 zero_gravi
    if (rstn_i = '0') then
1780 11 zero_gravi
      csr.mcycle    <= (others => '0');
1781
      csr.minstret  <= (others => '0');
1782
      csr.mcycleh   <= (others => '0');
1783
      csr.minstreth <= (others => '0');
1784
      mcycle_msb    <= '0';
1785
      minstret_msb  <= '0';
1786 6 zero_gravi
    elsif rising_edge(clk_i) then
1787 11 zero_gravi
 
1788 23 zero_gravi
      -- mcycle (cycle) --
1789
      mcycle_msb <= csr.mcycle(csr.mcycle'left);
1790 29 zero_gravi
      if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_mcycle_c) then -- write access
1791 27 zero_gravi
        csr.mcycle(31 downto 0) <= csr.wdata;
1792 23 zero_gravi
        csr.mcycle(32) <= '0';
1793
      elsif (execute_engine.sleep = '0') then -- automatic update (if CPU is not in sleep mode)
1794
        csr.mcycle <= std_ulogic_vector(unsigned(csr.mcycle) + 1);
1795
      end if;
1796 11 zero_gravi
 
1797 23 zero_gravi
      -- mcycleh (cycleh) --
1798 29 zero_gravi
      if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_mcycleh_c) then -- write access
1799 27 zero_gravi
        csr.mcycleh <= csr.wdata(csr.mcycleh'left downto 0);
1800 23 zero_gravi
      elsif ((mcycle_msb xor csr.mcycle(csr.mcycle'left)) = '1') then -- automatic update
1801
        csr.mcycleh <= std_ulogic_vector(unsigned(csr.mcycleh) + 1);
1802
      end if;
1803 11 zero_gravi
 
1804 23 zero_gravi
      -- minstret (instret) --
1805
      minstret_msb <= csr.minstret(csr.minstret'left);
1806 29 zero_gravi
      if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_minstret_c) then -- write access
1807 27 zero_gravi
        csr.minstret(31 downto 0) <= csr.wdata;
1808 23 zero_gravi
        csr.minstret(32) <= '0';
1809
      elsif (execute_engine.state_prev /= EXECUTE) and (execute_engine.state = EXECUTE) then -- automatic update
1810
        csr.minstret <= std_ulogic_vector(unsigned(csr.minstret) + 1);
1811
      end if;
1812 11 zero_gravi
 
1813 23 zero_gravi
      -- minstreth (instreth) --
1814 29 zero_gravi
      if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_minstreth_c) then -- write access
1815 27 zero_gravi
        csr.minstreth <= csr.wdata(csr.minstreth'left downto 0);
1816 23 zero_gravi
      elsif ((minstret_msb xor csr.minstret(csr.minstret'left)) = '1') then -- automatic update
1817
        csr.minstreth <= std_ulogic_vector(unsigned(csr.minstreth) + 1);
1818 2 zero_gravi
      end if;
1819
    end if;
1820
  end process csr_counters;
1821
 
1822
 
1823
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.