OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Control >>                                                                   #
3
-- # ********************************************************************************************* #
4 31 zero_gravi
-- # CPU operation is split into a fetch engine (responsible for fetching instruction data), an    #
5
-- # issue engine (for recoding compressed instructions and for constructing 32-bit instruction    #
6
-- # words) and an execute engine (responsible for actually executing the instructions), a trap    #
7
-- # handling controller and the RISC-V status and control register set (CSRs).                    #
8 2 zero_gravi
-- # ********************************************************************************************* #
9
-- # BSD 3-Clause License                                                                          #
10
-- #                                                                                               #
11
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
12
-- #                                                                                               #
13
-- # Redistribution and use in source and binary forms, with or without modification, are          #
14
-- # permitted provided that the following conditions are met:                                     #
15
-- #                                                                                               #
16
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
17
-- #    conditions and the following disclaimer.                                                   #
18
-- #                                                                                               #
19
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
20
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
21
-- #    provided with the distribution.                                                            #
22
-- #                                                                                               #
23
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
24
-- #    endorse or promote products derived from this software without specific prior written      #
25
-- #    permission.                                                                                #
26
-- #                                                                                               #
27
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
28
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
29
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
30
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
31
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
32
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
33
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
34
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
35
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
36
-- # ********************************************************************************************* #
37
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
38
-- #################################################################################################
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
library neorv32;
45
use neorv32.neorv32_package.all;
46
 
47
entity neorv32_cpu_control is
48
  generic (
49
    -- General --
50 12 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
51
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
52 2 zero_gravi
    -- RISC-V CPU Extensions --
53 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
54 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
55
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
56
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
57 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
58 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
59 15 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
60
    -- Physical memory protection (PMP) --
61
    PMP_USE                      : boolean := false; -- implement physical memory protection?
62
    PMP_NUM_REGIONS              : natural := 4; -- number of regions (1..4)
63
    PMP_GRANULARITY              : natural := 0  -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
64 2 zero_gravi
  );
65
  port (
66
    -- global control --
67
    clk_i         : in  std_ulogic; -- global clock, rising edge
68
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
69
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
70
    -- status input --
71
    alu_wait_i    : in  std_ulogic; -- wait for ALU
72 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
73
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
74 2 zero_gravi
    -- data input --
75
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
76
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
77 36 zero_gravi
    alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
78
    rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
79 2 zero_gravi
    -- data output --
80
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
81 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
82
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
83 2 zero_gravi
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
84 14 zero_gravi
    -- interrupts (risc-v compliant) --
85
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
86
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
87 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
88 14 zero_gravi
    -- fast interrupts (custom) --
89
    firq_i        : in  std_ulogic_vector(3 downto 0);
90 11 zero_gravi
    -- system time input from MTIME --
91
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
92 15 zero_gravi
    -- physical memory protection --
93 23 zero_gravi
    pmp_addr_o    : out pmp_addr_if_t; -- addresses
94
    pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
95 2 zero_gravi
    -- bus access exceptions --
96
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0);  -- memory address register
97
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
98
    ma_load_i     : in  std_ulogic; -- misaligned load data address
99
    ma_store_i    : in  std_ulogic; -- misaligned store data address
100
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
101
    be_load_i     : in  std_ulogic; -- bus error on load data access
102 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
103 2 zero_gravi
  );
104
end neorv32_cpu_control;
105
 
106
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
107
 
108 6 zero_gravi
  -- instruction fetch enginge --
109 31 zero_gravi
  type fetch_engine_state_t is (IFETCH_RESET, IFETCH_REQUEST, IFETCH_ISSUE);
110 6 zero_gravi
  type fetch_engine_t is record
111 31 zero_gravi
    state       : fetch_engine_state_t;
112
    state_nxt   : fetch_engine_state_t;
113
    pc          : std_ulogic_vector(data_width_c-1 downto 0);
114
    pc_nxt      : std_ulogic_vector(data_width_c-1 downto 0);
115
    reset       : std_ulogic;
116
    bus_err_ack : std_ulogic;
117 6 zero_gravi
  end record;
118
  signal fetch_engine : fetch_engine_t;
119 2 zero_gravi
 
120 32 zero_gravi
  -- instrucion prefetch buffer (IPB, real FIFO) --
121 31 zero_gravi
  type ipb_data_fifo_t is array (0 to ipb_entries_c-1) of std_ulogic_vector(2+31 downto 0);
122 6 zero_gravi
  type ipb_t is record
123 31 zero_gravi
    wdata : std_ulogic_vector(2+31 downto 0); -- write status (bus_error, align_error) + 32-bit instruction data
124
    we    : std_ulogic; -- trigger write
125
    free  : std_ulogic; -- free entry available?
126
    clear : std_ulogic; -- clear all entries
127 20 zero_gravi
    --
128 31 zero_gravi
    rdata : std_ulogic_vector(2+31 downto 0); -- read data: status (bus_error, align_error) + 32-bit instruction data
129
    re    : std_ulogic; -- read enable
130
    avail : std_ulogic; -- data available?
131 20 zero_gravi
    --
132 31 zero_gravi
    w_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- write pointer
133
    r_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- read pointer
134 34 zero_gravi
    match : std_ulogic;
135 31 zero_gravi
    empty : std_ulogic;
136
    full  : std_ulogic;
137 20 zero_gravi
    --
138 31 zero_gravi
    data  : ipb_data_fifo_t; -- fifo memory
139 6 zero_gravi
  end record;
140
  signal ipb : ipb_t;
141 2 zero_gravi
 
142 31 zero_gravi
  -- pre-decoder --
143
  signal ci_instr16 : std_ulogic_vector(15 downto 0);
144
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
145
  signal ci_illegal : std_ulogic;
146
 
147
  -- instruction issue enginge --
148
  type issue_engine_state_t is (ISSUE_ACTIVE, ISSUE_REALIGN);
149
  type issue_engine_t is record
150
    state     : issue_engine_state_t;
151
    state_nxt : issue_engine_state_t;
152
    align     : std_ulogic;
153
    align_nxt : std_ulogic;
154
    buf       : std_ulogic_vector(2+15 downto 0);
155
    buf_nxt   : std_ulogic_vector(2+15 downto 0);
156
  end record;
157
  signal issue_engine : issue_engine_t;
158
 
159 37 zero_gravi
  -- instruction issue interface --
160
  type cmd_issue_t is record
161
    data  : std_ulogic_vector(35 downto 0); -- 4-bit status + 32-bit instruction
162
    valid : std_ulogic; -- data word is valid when set
163 31 zero_gravi
  end record;
164 37 zero_gravi
  signal cmd_issue : cmd_issue_t;
165 31 zero_gravi
 
166 6 zero_gravi
  -- instruction execution engine --
167 39 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP, EXECUTE, ALU_WAIT, BRANCH, FENCE_OP, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, SYS_ENV, CSR_ACCESS);
168 6 zero_gravi
  type execute_engine_t is record
169
    state        : execute_engine_state_t;
170 19 zero_gravi
    state_prev   : execute_engine_state_t;
171 6 zero_gravi
    state_nxt    : execute_engine_state_t;
172 39 zero_gravi
    --
173 6 zero_gravi
    i_reg        : std_ulogic_vector(31 downto 0);
174
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
175 33 zero_gravi
    i_reg_last   : std_ulogic_vector(31 downto 0); -- last executed instruction
176 39 zero_gravi
    --
177 6 zero_gravi
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
178
    is_ci_nxt    : std_ulogic;
179
    is_jump      : std_ulogic; -- current instruction is jump instruction
180
    is_jump_nxt  : std_ulogic;
181 29 zero_gravi
    is_cp_op     : std_ulogic; -- current instruction is a co-processor operation
182
    is_cp_op_nxt : std_ulogic;
183 39 zero_gravi
    --
184 6 zero_gravi
    branch_taken : std_ulogic; -- branch condition fullfilled
185
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
186 39 zero_gravi
    pc_mux_sel   : std_ulogic_vector(1 downto 0); -- source select for PC update
187
    pc_we        : std_ulogic; -- PC update enabled
188 6 zero_gravi
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
189
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
190 39 zero_gravi
    --
191 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
192 39 zero_gravi
    sleep_nxt    : std_ulogic;
193 20 zero_gravi
    if_rst       : std_ulogic; -- instruction fetch was reset
194 39 zero_gravi
    if_rst_nxt   : std_ulogic;
195 6 zero_gravi
  end record;
196
  signal execute_engine : execute_engine_t;
197 2 zero_gravi
 
198 6 zero_gravi
  -- trap controller --
199
  type trap_ctrl_t is record
200
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
201
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
202
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
203
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
204
    exc_ack       : std_ulogic; -- acknowledge all exceptions
205
    irq_ack       : std_ulogic_vector(interrupt_width_c-1 downto 0); -- acknowledge specific interrupt
206
    irq_ack_nxt   : std_ulogic_vector(interrupt_width_c-1 downto 0);
207 14 zero_gravi
    cause         : std_ulogic_vector(5 downto 0); -- trap ID (for "mcause"), only for hw
208
    cause_nxt     : std_ulogic_vector(5 downto 0);
209 6 zero_gravi
    --
210
    env_start     : std_ulogic; -- start trap handler env
211
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
212
    env_end       : std_ulogic; -- end trap handler env
213
    --
214
    instr_be      : std_ulogic; -- instruction fetch bus error
215
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
216
    instr_il      : std_ulogic; -- illegal instruction
217
    env_call      : std_ulogic;
218
    break_point   : std_ulogic;
219
  end record;
220
  signal trap_ctrl : trap_ctrl_t;
221 39 zero_gravi
 
222
  -- atomic operations controller --
223
  type atomic_ctrl_t is record
224
    env_start  : std_ulogic; -- begin atomic operations
225
    env_end    : std_ulogic; -- end atomic operations
226
    env_end_ff : std_ulogic; -- end atomic operations dealyed
227
    env_abort  : std_ulogic; -- atomic operations abort (results in failure)
228
    lock       : std_ulogic; -- lock status
229
  end record;
230
  signal atomic_ctrl : atomic_ctrl_t;
231 6 zero_gravi
 
232
  -- CPU control signals --
233
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
234 2 zero_gravi
 
235 6 zero_gravi
  -- fast bus access --
236
  signal bus_fast_ir : std_ulogic;
237 2 zero_gravi
 
238 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
239 15 zero_gravi
  type pmp_ctrl_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(7 downto 0);
240
  type pmp_addr_t is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
241 6 zero_gravi
  type csr_t is record
242 29 zero_gravi
    we           : std_ulogic; -- csr write enable
243 6 zero_gravi
    we_nxt       : std_ulogic;
244 29 zero_gravi
    re           : std_ulogic; -- csr read enable
245 6 zero_gravi
    re_nxt       : std_ulogic;
246 29 zero_gravi
    wdata        : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data
247
    rdata        : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
248
    --
249 6 zero_gravi
    mstatus_mie  : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
250
    mstatus_mpie : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/-)
251 29 zero_gravi
    mstatus_mpp  : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode
252
    --
253 6 zero_gravi
    mie_msie     : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
254
    mie_meie     : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
255 14 zero_gravi
    mie_mtie     : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W
256
    mie_firqe    : std_ulogic_vector(3 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
257 29 zero_gravi
    --
258 15 zero_gravi
    privilege    : std_ulogic_vector(1 downto 0); -- hart's current previous privilege mode
259 29 zero_gravi
    --
260 6 zero_gravi
    mepc         : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
261 14 zero_gravi
    mcause       : std_ulogic_vector(data_width_c-1 downto 0); -- mcause: machine trap cause (R/-)
262 12 zero_gravi
    mtvec        : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
263 11 zero_gravi
    mtval        : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or isntruction (R/W)
264 6 zero_gravi
    mscratch     : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
265 11 zero_gravi
    mcycle       : std_ulogic_vector(32 downto 0); -- mcycle (R/W), plus carry bit
266
    minstret     : std_ulogic_vector(32 downto 0); -- minstret (R/W), plus carry bit
267 27 zero_gravi
    mcycleh      : std_ulogic_vector(31 downto 0); -- mcycleh (R/W) - REDUCED BIT-WIDTH!
268
    minstreth    : std_ulogic_vector(31 downto 0); -- minstreth (R/W) - REDUCED BIT-WIDTH!
269 15 zero_gravi
    pmpcfg       : pmp_ctrl_t; -- physical memory protection - configuration registers
270
    pmpaddr      : pmp_addr_t; -- physical memory protection - address registers
271 6 zero_gravi
  end record;
272
  signal csr : csr_t;
273 2 zero_gravi
 
274 11 zero_gravi
  signal mcycle_msb   : std_ulogic;
275
  signal minstret_msb : std_ulogic;
276 2 zero_gravi
 
277 6 zero_gravi
  -- illegal instruction check --
278 36 zero_gravi
  signal illegal_opcode_lsbs : std_ulogic; -- if opcode != rv32
279 2 zero_gravi
  signal illegal_instruction : std_ulogic;
280
  signal illegal_register    : std_ulogic; -- only for E-extension
281
  signal illegal_compressed  : std_ulogic; -- only fir C-extension
282
 
283 15 zero_gravi
  -- access (privilege) check --
284
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
285
 
286 2 zero_gravi
begin
287
 
288 6 zero_gravi
-- ****************************************************************************************************************************
289 31 zero_gravi
-- Instruction Fetch (always fetches aligned 32-bit chunks of data)
290 6 zero_gravi
-- ****************************************************************************************************************************
291
 
292
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
293
  -- -------------------------------------------------------------------------------------------
294 31 zero_gravi
  fetch_engine_fsm_sync: process(rstn_i, clk_i)
295 6 zero_gravi
  begin
296
    if (rstn_i = '0') then
297
      fetch_engine.state <= IFETCH_RESET;
298 31 zero_gravi
      fetch_engine.pc    <= (others => '0');
299 6 zero_gravi
    elsif rising_edge(clk_i) then
300
      if (fetch_engine.reset = '1') then
301
        fetch_engine.state <= IFETCH_RESET;
302
      else
303
        fetch_engine.state <= fetch_engine.state_nxt;
304
      end if;
305 31 zero_gravi
      fetch_engine.pc <= fetch_engine.pc_nxt;
306 6 zero_gravi
    end if;
307
  end process fetch_engine_fsm_sync;
308
 
309 12 zero_gravi
  -- PC output --
310 31 zero_gravi
  fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0'; -- half-word aligned
311 6 zero_gravi
 
312 12 zero_gravi
 
313 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
314
  -- -------------------------------------------------------------------------------------------
315 31 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, execute_engine, ipb, instr_i, bus_i_wait_i, be_instr_i, ma_instr_i)
316 6 zero_gravi
  begin
317
    -- arbiter defaults --
318 31 zero_gravi
    bus_fast_ir              <= '0';
319
    fetch_engine.state_nxt   <= fetch_engine.state;
320
    fetch_engine.pc_nxt      <= fetch_engine.pc;
321
    fetch_engine.bus_err_ack <= '0';
322 6 zero_gravi
 
323
    -- instruction prefetch buffer interface --
324
    ipb.we    <= '0';
325 31 zero_gravi
    ipb.wdata <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store exception info and instruction word
326 6 zero_gravi
    ipb.clear <= '0';
327
 
328
    -- state machine --
329
    case fetch_engine.state is
330
 
331 31 zero_gravi
      when IFETCH_RESET => -- reset engine and prefetch buffer, get appilcation PC
332 6 zero_gravi
      -- ------------------------------------------------------------
333 31 zero_gravi
        fetch_engine.bus_err_ack <= '1'; -- acknowledge any instruction bus errors, the execute engine has to take care of them / terminate current transfer
334
        fetch_engine.pc_nxt      <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
335
        ipb.clear                <= '1'; -- clear prefetch buffer
336
        fetch_engine.state_nxt   <= IFETCH_REQUEST;
337 6 zero_gravi
 
338 31 zero_gravi
      when IFETCH_REQUEST => -- output current PC to bus system and request 32-bit (aligned!) instruction data
339 6 zero_gravi
      -- ------------------------------------------------------------
340 31 zero_gravi
        if (ipb.free = '1') then -- free entry in buffer?
341
          bus_fast_ir            <= '1'; -- fast instruction fetch request
342
          fetch_engine.state_nxt <= IFETCH_ISSUE;
343
        end if;
344 6 zero_gravi
 
345 31 zero_gravi
      when IFETCH_ISSUE => -- store instruction data to prefetch buffer
346 6 zero_gravi
      -- ------------------------------------------------------------
347 12 zero_gravi
        if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
348 39 zero_gravi
          fetch_engine.pc_nxt    <= std_ulogic_vector(unsigned(fetch_engine.pc) + 4);
349
          ipb.we                 <= '1';
350
          fetch_engine.state_nxt <= IFETCH_REQUEST;
351 6 zero_gravi
        end if;
352 11 zero_gravi
 
353 6 zero_gravi
      when others => -- undefined
354
      -- ------------------------------------------------------------
355
        fetch_engine.state_nxt <= IFETCH_RESET;
356
 
357
    end case;
358
  end process fetch_engine_fsm_comb;
359
 
360
 
361
-- ****************************************************************************************************************************
362
-- Instruction Prefetch Buffer
363
-- ****************************************************************************************************************************
364
 
365
 
366 20 zero_gravi
  -- Instruction Prefetch Buffer (FIFO) -----------------------------------------------------
367 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
368 36 zero_gravi
  instr_prefetch_buffer: process(clk_i)
369 6 zero_gravi
  begin
370 36 zero_gravi
    if rising_edge(clk_i) then
371 20 zero_gravi
      -- write port --
372 6 zero_gravi
      if (ipb.clear = '1') then
373 20 zero_gravi
        ipb.w_pnt <= (others => '0');
374 6 zero_gravi
      elsif (ipb.we = '1') then
375 20 zero_gravi
        ipb.w_pnt <= std_ulogic_vector(unsigned(ipb.w_pnt) + 1);
376
      end if;
377 37 zero_gravi
      if (ipb.we = '1') then -- write data
378 36 zero_gravi
        ipb.data(to_integer(unsigned(ipb.w_pnt(ipb.w_pnt'left-1 downto 0)))) <= ipb.wdata;
379
      end if;
380
      -- read port --
381 20 zero_gravi
      if (ipb.clear = '1') then
382
        ipb.r_pnt <= (others => '0');
383 6 zero_gravi
      elsif (ipb.re = '1') then
384 20 zero_gravi
        ipb.r_pnt <= std_ulogic_vector(unsigned(ipb.r_pnt) + 1);
385 6 zero_gravi
      end if;
386 20 zero_gravi
    end if;
387 36 zero_gravi
  end process instr_prefetch_buffer;
388 20 zero_gravi
 
389
  -- async read --
390 31 zero_gravi
  ipb.rdata <= ipb.data(to_integer(unsigned(ipb.r_pnt(ipb.r_pnt'left-1 downto 0))));
391 20 zero_gravi
 
392 6 zero_gravi
  -- status --
393 34 zero_gravi
  ipb.match <= '1' when (ipb.r_pnt(ipb.r_pnt'left-1 downto 0) = ipb.w_pnt(ipb.w_pnt'left-1 downto 0)) else '0';
394
  ipb.full  <= '1' when (ipb.r_pnt(ipb.r_pnt'left) /= ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
395
  ipb.empty <= '1' when (ipb.r_pnt(ipb.r_pnt'left)  = ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
396 20 zero_gravi
  ipb.free  <= not ipb.full;
397
  ipb.avail <= not ipb.empty;
398 6 zero_gravi
 
399
 
400
-- ****************************************************************************************************************************
401 31 zero_gravi
-- Instruction Issue (recoding of compressed instructions and 32-bit instruction word construction)
402
-- ****************************************************************************************************************************
403
 
404
 
405
  -- Issue Engine FSM Sync ------------------------------------------------------------------
406
  -- -------------------------------------------------------------------------------------------
407
  issue_engine_fsm_sync: process(rstn_i, clk_i)
408
  begin
409
    if (rstn_i = '0') then
410
      issue_engine.state <= ISSUE_ACTIVE;
411
      issue_engine.align <= CPU_BOOT_ADDR(1);
412
      issue_engine.buf   <= (others => '0');
413
    elsif rising_edge(clk_i) then
414
      if (ipb.clear = '1') then
415
        if (CPU_EXTENSION_RISCV_C = true) then
416
          if (execute_engine.pc(1) = '1') then -- branch to unaligned address?
417
            issue_engine.state <= ISSUE_REALIGN;
418
            issue_engine.align <= '1'; -- aligned on 16-bit boundary
419
          else
420
            issue_engine.state <= issue_engine.state_nxt;
421
            issue_engine.align <= '0'; -- aligned on 32-bit boundary
422
          end if;
423
        else
424
          issue_engine.state <= issue_engine.state_nxt;
425
          issue_engine.align <= '0'; -- always aligned on 32-bit boundaries
426
        end if;
427
      else
428
        issue_engine.state <= issue_engine.state_nxt;
429
        issue_engine.align <= issue_engine.align_nxt;
430
      end if;
431
      issue_engine.buf <= issue_engine.buf_nxt;
432
    end if;
433
  end process issue_engine_fsm_sync;
434
 
435
 
436
  -- Issue Engine FSM Comb ------------------------------------------------------------------
437
  -- -------------------------------------------------------------------------------------------
438 37 zero_gravi
  issue_engine_fsm_comb: process(issue_engine, ipb, execute_engine, ci_illegal, ci_instr32)
439 31 zero_gravi
  begin
440
    -- arbiter defaults --
441
    issue_engine.state_nxt <= issue_engine.state;
442
    issue_engine.align_nxt <= issue_engine.align;
443
    issue_engine.buf_nxt   <= issue_engine.buf;
444
 
445
    -- instruction prefetch buffer interface defaults --
446
    ipb.re <= '0';
447
 
448 37 zero_gravi
    -- instruction issue interface defaults --
449
    -- cmd_issue.data = <illegal_compressed_instruction> & <bus_error & alignment_error> & <is_compressed_instrucion> & <32-bit_instruction_word>
450
    cmd_issue.data  <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
451
    cmd_issue.valid <= '0';
452 31 zero_gravi
 
453
    -- state machine --
454
    case issue_engine.state is
455
 
456
      when ISSUE_ACTIVE => -- issue instruction if available
457
      -- ------------------------------------------------------------
458
        if (ipb.avail = '1') then -- instructions available?
459
 
460
          if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- begin check in LOW instruction half-word
461 37 zero_gravi
            if (execute_engine.state = DISPATCH) then
462 39 zero_gravi
              cmd_issue.valid      <= '1';
463 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
464
              if (ipb.rdata(1 downto 0) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed and "aligned"
465 37 zero_gravi
                ipb.re <= '1';
466
                cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
467 31 zero_gravi
              else -- compressed
468 37 zero_gravi
                ipb.re <= '1';
469
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
470 31 zero_gravi
                issue_engine.align_nxt <= '1';
471
              end if;
472
            end if;
473
 
474
          else -- begin check in HIGH instruction half-word
475 37 zero_gravi
            if (execute_engine.state = DISPATCH) then
476 39 zero_gravi
              cmd_issue.valid      <= '1';
477 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
478
              if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed and "unaligned"
479 37 zero_gravi
                ipb.re <= '1';
480
                cmd_issue.data <= '0' & issue_engine.buf(17 downto 16) & '0' & (ipb.rdata(15 downto 0) & issue_engine.buf(15 downto 0));
481 31 zero_gravi
              else -- compressed
482 36 zero_gravi
                -- do not read from ipb here!
483 37 zero_gravi
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
484 31 zero_gravi
                issue_engine.align_nxt <= '0';
485
              end if;
486
            end if;
487
          end if;
488
        end if;
489
 
490
      when ISSUE_REALIGN => -- re-align input fifos after a branch to an unaligned address
491
      -- ------------------------------------------------------------
492
        issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16);
493
        if (ipb.avail = '1') then -- instructions available?
494
          ipb.re <= '1';
495
          issue_engine.state_nxt <= ISSUE_ACTIVE;
496
        end if;
497
 
498
      when others => -- undefined
499
      -- ------------------------------------------------------------
500
        issue_engine.state_nxt <= ISSUE_ACTIVE;
501
 
502
    end case;
503
  end process issue_engine_fsm_comb;
504
 
505
  -- 16-bit instruction: half-word select --
506
  ci_instr16 <= ipb.rdata(15 downto 0) when (issue_engine.align = '0') else issue_engine.buf(15 downto 0);
507
 
508
 
509
  -- Compressed Instructions Recoding -------------------------------------------------------
510
  -- -------------------------------------------------------------------------------------------
511
  neorv32_cpu_decompressor_inst_true:
512
  if (CPU_EXTENSION_RISCV_C = true) generate
513
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
514
    port map (
515
      -- instruction input --
516
      ci_instr16_i => ci_instr16, -- compressed instruction input
517
      -- instruction output --
518
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
519
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
520
    );
521
  end generate;
522
 
523
  neorv32_cpu_decompressor_inst_false:
524
  if (CPU_EXTENSION_RISCV_C = false) generate
525
    ci_instr32 <= (others => '0');
526
    ci_illegal <= '0';
527
  end generate;
528
 
529
 
530
-- ****************************************************************************************************************************
531 6 zero_gravi
-- Instruction Execution
532
-- ****************************************************************************************************************************
533
 
534
 
535 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
536
  -- -------------------------------------------------------------------------------------------
537 38 zero_gravi
  imm_gen: process(execute_engine.i_reg, clk_i)
538 37 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
539 2 zero_gravi
  begin
540 38 zero_gravi
    opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
541 2 zero_gravi
    if rising_edge(clk_i) then
542 39 zero_gravi
      if (execute_engine.state = BRANCH) then -- next_PC as immediate fro jump-and-link operations (=return address)
543
        imm_o <= execute_engine.next_pc;
544
      else -- "nromal" immediate from instruction
545
        case opcode_v is -- save some bits here, LSBs are always 11 for rv32
546
          when opcode_store_c => -- S-immediate
547
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
548
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
549
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
550
            imm_o(00)           <= execute_engine.i_reg(07);
551
          when opcode_branch_c => -- B-immediate
552
            imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
553
            imm_o(11)           <= execute_engine.i_reg(07);
554
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
555
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
556
            imm_o(00)           <= '0';
557
          when opcode_lui_c | opcode_auipc_c => -- U-immediate
558
            imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
559
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
560
            imm_o(11 downto 00) <= (others => '0');
561
          when opcode_jal_c => -- J-immediate
562
            imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
563
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
564
            imm_o(11)           <= execute_engine.i_reg(20);
565
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
566
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
567
            imm_o(00)           <= '0';
568
          when opcode_atomic_c => -- atomic memory access
569
            imm_o               <= (others => '0'); -- effective address is reg + 0
570
          when others => -- I-immediate
571
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
572
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
573
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
574
            imm_o(00)           <= execute_engine.i_reg(20);
575
        end case;
576
      end if;
577 2 zero_gravi
    end if;
578
  end process imm_gen;
579
 
580
 
581
  -- Branch Condition Check -----------------------------------------------------------------
582
  -- -------------------------------------------------------------------------------------------
583 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
584 2 zero_gravi
  begin
585 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
586 2 zero_gravi
      when funct3_beq_c => -- branch if equal
587 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_equal_c);
588 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
589 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_equal_c);
590 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
591 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_less_c);
592 2 zero_gravi
      when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned)
593 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_less_c);
594 2 zero_gravi
      when others => -- undefined
595 6 zero_gravi
        execute_engine.branch_taken <= '0';
596 2 zero_gravi
    end case;
597
  end process branch_check;
598
 
599
 
600 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
601 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
602 12 zero_gravi
  -- for registers that DO require a specific reset state --
603 6 zero_gravi
  execute_engine_fsm_sync_rst: process(rstn_i, clk_i)
604 2 zero_gravi
  begin
605
    if (rstn_i = '0') then
606 12 zero_gravi
      execute_engine.pc      <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
607
      execute_engine.state   <= SYS_WAIT;
608 13 zero_gravi
      execute_engine.sleep   <= '0';
609 23 zero_gravi
      execute_engine.if_rst  <= '1'; -- instruction fetch is reset after system reset
610 2 zero_gravi
    elsif rising_edge(clk_i) then
611 39 zero_gravi
      -- PC update --
612
      if (execute_engine.pc_we = '1') then
613
        case execute_engine.pc_mux_sel is
614
          when "00"   => execute_engine.pc <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- normal (linear) increment
615
          when "01"   => execute_engine.pc <= alu_add_i(data_width_c-1 downto 1) & '0'; -- jump/branch
616
          when "10"   => execute_engine.pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap
617
          when others => execute_engine.pc <= csr.mepc(data_width_c-1 downto 1) & '0'; -- trap return
618
        end case;
619
      end if;
620
      --
621 27 zero_gravi
      execute_engine.state   <= execute_engine.state_nxt;
622
      execute_engine.sleep   <= execute_engine.sleep_nxt;
623
      execute_engine.if_rst  <= execute_engine.if_rst_nxt;
624 2 zero_gravi
    end if;
625 6 zero_gravi
  end process execute_engine_fsm_sync_rst;
626 2 zero_gravi
 
627 6 zero_gravi
 
628 12 zero_gravi
  -- for registers that do NOT require a specific reset state --
629 6 zero_gravi
  execute_engine_fsm_sync: process(clk_i)
630 2 zero_gravi
  begin
631
    if rising_edge(clk_i) then
632 19 zero_gravi
      execute_engine.state_prev <= execute_engine.state;
633
      execute_engine.i_reg      <= execute_engine.i_reg_nxt;
634
      execute_engine.is_ci      <= execute_engine.is_ci_nxt;
635
      execute_engine.is_jump    <= execute_engine.is_jump_nxt;
636 29 zero_gravi
      execute_engine.is_cp_op   <= execute_engine.is_cp_op_nxt;
637 39 zero_gravi
      -- next PC (next linear instruction) --
638 37 zero_gravi
      if (execute_engine.is_ci = '1') then -- compressed instruction?
639
        execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + 2);
640
      else
641
        execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + 4);
642
      end if;
643 39 zero_gravi
      -- PC & IR of last "executed" instruction --
644
      if (execute_engine.state = EXECUTE) then
645
        execute_engine.last_pc   <= execute_engine.pc;
646
        execute_engine.i_reg_last <= execute_engine.i_reg;
647
      end if;
648
      -- main control bus --
649 6 zero_gravi
      ctrl <= ctrl_nxt;
650 2 zero_gravi
    end if;
651 6 zero_gravi
  end process execute_engine_fsm_sync;
652 2 zero_gravi
 
653 20 zero_gravi
  -- PC output --
654 39 zero_gravi
  curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- PC for ALU ops
655 6 zero_gravi
 
656 20 zero_gravi
 
657 6 zero_gravi
  -- CPU Control Bus Output -----------------------------------------------------------------
658
  -- -------------------------------------------------------------------------------------------
659 39 zero_gravi
  ctrl_output: process(ctrl, fetch_engine, trap_ctrl, atomic_ctrl, bus_fast_ir, execute_engine, csr.privilege)
660 2 zero_gravi
  begin
661 36 zero_gravi
    -- signals from execute engine --
662 2 zero_gravi
    ctrl_o <= ctrl;
663 36 zero_gravi
    -- current privilege level --
664
    ctrl_o(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) <= csr.privilege;
665
    -- register addresses --
666
    ctrl_o(ctrl_rf_rs1_adr4_c  downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c);
667
    ctrl_o(ctrl_rf_rs2_adr4_c  downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c);
668
    ctrl_o(ctrl_rf_rd_adr4_c   downto ctrl_rf_rd_adr0_c)  <= execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c);
669 12 zero_gravi
    -- fast bus access requests --
670 36 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= bus_fast_ir;
671 12 zero_gravi
    -- bus error control --
672
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack;
673
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack;
674 36 zero_gravi
    -- instruction's function blocks (for co-processors) --
675
    ctrl_o(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_0_c) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c);
676
    ctrl_o(ctrl_ir_funct3_2_c   downto ctrl_ir_funct3_0_c)  <= execute_engine.i_reg(instr_funct3_msb_c  downto instr_funct3_lsb_c);
677 39 zero_gravi
    -- locked bus operation (for atomica memory operations) --
678
    ctrl_o(ctrl_bus_lock_c) <= atomic_ctrl.lock; -- (bus) lock status
679 6 zero_gravi
  end process ctrl_output;
680 2 zero_gravi
 
681
 
682 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
683
  -- -------------------------------------------------------------------------------------------
684 37 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, fetch_engine, cmd_issue, trap_ctrl, csr, ctrl, csr_acc_valid,
685 39 zero_gravi
                                   alu_wait_i, bus_d_wait_i, ma_load_i, be_load_i, ma_store_i, be_store_i)
686 2 zero_gravi
    variable alu_immediate_v : std_ulogic;
687
    variable rs1_is_r0_v     : std_ulogic;
688 36 zero_gravi
    variable opcode_v        : std_ulogic_vector(6 downto 0);
689 39 zero_gravi
    variable is_atomic_lr_v  : std_ulogic;
690
    variable is_atomic_sc_v  : std_ulogic;
691 2 zero_gravi
  begin
692
    -- arbiter defaults --
693 29 zero_gravi
    execute_engine.state_nxt    <= execute_engine.state;
694
    execute_engine.i_reg_nxt    <= execute_engine.i_reg;
695
    execute_engine.is_jump_nxt  <= '0';
696
    execute_engine.is_cp_op_nxt <= execute_engine.is_cp_op;
697
    execute_engine.is_ci_nxt    <= execute_engine.is_ci;
698
    execute_engine.sleep_nxt    <= execute_engine.sleep;
699
    execute_engine.if_rst_nxt   <= execute_engine.if_rst;
700 39 zero_gravi
    --
701
    execute_engine.pc_mux_sel   <= (others => '0');
702
    execute_engine.pc_we        <= '0';
703 2 zero_gravi
 
704 6 zero_gravi
    -- instruction dispatch --
705 37 zero_gravi
    fetch_engine.reset          <= '0';
706 2 zero_gravi
 
707 6 zero_gravi
    -- trap environment control --
708 37 zero_gravi
    trap_ctrl.env_start_ack     <= '0';
709
    trap_ctrl.env_end           <= '0';
710 6 zero_gravi
 
711 2 zero_gravi
    -- exception trigger --
712 37 zero_gravi
    trap_ctrl.instr_be          <= '0';
713
    trap_ctrl.instr_ma          <= '0';
714
    trap_ctrl.env_call          <= '0';
715
    trap_ctrl.break_point       <= '0';
716
    illegal_compressed          <= '0';
717 2 zero_gravi
 
718 6 zero_gravi
    -- CSR access --
719 37 zero_gravi
    csr.we_nxt                  <= '0';
720
    csr.re_nxt                  <= '0';
721 6 zero_gravi
 
722 39 zero_gravi
    -- atomic operations control --
723
    atomic_ctrl.env_start       <= '0';
724
    atomic_ctrl.env_end         <= '0';
725
    atomic_ctrl.env_abort       <= '0';
726
 
727
    -- CONTROL DEFAULTS --
728 36 zero_gravi
    ctrl_nxt <= (others => '0'); -- default: all off
729 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
730 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation? (SLTIU, SLTU)
731 2 zero_gravi
    else -- branches
732 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches? (BLTU, BGEU)
733 2 zero_gravi
    end if;
734 39 zero_gravi
    -- memor access --
735
    ctrl_nxt(ctrl_bus_unsigned_c)                            <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
736
    ctrl_nxt(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size
737
    -- alu.shifter --
738 27 zero_gravi
    ctrl_nxt(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
739
    ctrl_nxt(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
740 39 zero_gravi
    -- ALU control --
741
    ctrl_nxt(ctrl_alu_addsub_c)                          <= '0'; -- ADD(I)
742
    ctrl_nxt(ctrl_alu_func1_c  downto ctrl_alu_func0_c)  <= alu_func_cmd_arith_c; -- default ALU function select: arithmetic
743
    ctrl_nxt(ctrl_alu_arith_c)                           <= alu_arith_cmd_addsub_c; -- default ALU arithmetic operation: ADDSUB
744
    ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- default ALU logic operation: MOVB
745
    -- co-processor id --
746
    ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- default CP = MULDIV
747 2 zero_gravi
 
748 26 zero_gravi
    -- is immediate ALU operation? --
749
    alu_immediate_v := not execute_engine.i_reg(instr_opcode_msb_c-1);
750 2 zero_gravi
 
751 26 zero_gravi
    -- is rs1 == r0? --
752
    rs1_is_r0_v := not or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c));
753 2 zero_gravi
 
754 39 zero_gravi
    -- is atomic load-reservate/store-conditional? --
755
    if (CPU_EXTENSION_RISCV_A = true) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') then -- valid atomic sub-opcode
756
      is_atomic_lr_v := not execute_engine.i_reg(instr_funct5_lsb_c);
757
      is_atomic_sc_v :=     execute_engine.i_reg(instr_funct5_lsb_c);
758
    else
759
      is_atomic_lr_v := '0';
760
      is_atomic_sc_v := '0';
761
    end if;
762 26 zero_gravi
 
763 39 zero_gravi
 
764 6 zero_gravi
    -- state machine --
765
    case execute_engine.state is
766 2 zero_gravi
 
767 25 zero_gravi
      when SYS_WAIT => -- System delay cycle (used to wait for side effects to kick in) ((and to init r0 with zero if it is a physical register))
768 2 zero_gravi
      -- ------------------------------------------------------------
769 26 zero_gravi
        -- set reg_file's r0 to zero --
770 25 zero_gravi
        if (rf_r0_is_reg_c = true) then -- is r0 implemented as physical register, which has to be set to zero?
771 37 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output (hacky! results zero since there is no valid CSR_read)
772 36 zero_gravi
          ctrl_nxt(ctrl_rf_r0_we_c) <= '1'; -- force RF write access and force rd=r0
773 25 zero_gravi
        end if;
774
        --
775 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
776 2 zero_gravi
 
777 39 zero_gravi
 
778 37 zero_gravi
      when DISPATCH => -- Get new command from instruction issue engine
779 25 zero_gravi
      -- ------------------------------------------------------------
780 39 zero_gravi
        execute_engine.pc_mux_sel <= "00"; -- linear next PC
781 37 zero_gravi
        if (cmd_issue.valid = '1') then -- instruction available?
782
          -- IR update --
783
          execute_engine.is_ci_nxt <= cmd_issue.data(32); -- flag to indicate this is a de-compressed instruction beeing executed
784
          execute_engine.i_reg_nxt <= cmd_issue.data(31 downto 0);
785
          trap_ctrl.instr_ma       <= cmd_issue.data(33); -- misaligned instruction fetch address
786
          trap_ctrl.instr_be       <= cmd_issue.data(34); -- bus access fault during instrucion fetch
787
          illegal_compressed       <= cmd_issue.data(35); -- invalid decompressed instruction
788
          -- PC update --
789 27 zero_gravi
          execute_engine.if_rst_nxt <= '0';
790
          if (execute_engine.if_rst = '0') then -- if there was NO non-linear PC modification
791 39 zero_gravi
            execute_engine.pc_we <= '1';
792 21 zero_gravi
          end if;
793 37 zero_gravi
          -- any reason to go to trap state FAST? --
794
          if (execute_engine.sleep = '1') or (trap_ctrl.env_start = '1') or (trap_ctrl.exc_fire = '1') or ((cmd_issue.data(33) or cmd_issue.data(34)) = '1') then
795 13 zero_gravi
            execute_engine.state_nxt <= TRAP;
796
          else
797 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
798 13 zero_gravi
          end if;
799
        end if;
800 2 zero_gravi
 
801 39 zero_gravi
 
802 11 zero_gravi
      when TRAP => -- Start trap environment (also used as cpu sleep state)
803 2 zero_gravi
      -- ------------------------------------------------------------
804 39 zero_gravi
        execute_engine.pc_mux_sel <= "10"; -- csr.mtvec (trap)
805 34 zero_gravi
        -- stay here for sleep
806
        if (trap_ctrl.env_start = '1') then -- trap triggered?
807
          fetch_engine.reset        <= '1';
808
          execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
809
          trap_ctrl.env_start_ack   <= '1';
810 39 zero_gravi
          execute_engine.pc_we      <= '1';
811 34 zero_gravi
          execute_engine.sleep_nxt  <= '0'; -- waky waky
812
          execute_engine.state_nxt  <= SYS_WAIT;
813 2 zero_gravi
        end if;
814
 
815 39 zero_gravi
 
816 6 zero_gravi
      when EXECUTE => -- Decode and execute instruction
817 2 zero_gravi
      -- ------------------------------------------------------------
818 36 zero_gravi
        opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
819
        case opcode_v is
820 2 zero_gravi
 
821 25 zero_gravi
          when opcode_alu_c | opcode_alui_c => -- (immediate) ALU operation
822 2 zero_gravi
          -- ------------------------------------------------------------
823 39 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c)   <= '0'; -- use RS1 as ALU.OPA
824
            ctrl_nxt(ctrl_alu_opb_mux_c)   <= alu_immediate_v; -- use IMM as ALU.OPB for immediate operations
825
            ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
826 25 zero_gravi
 
827 39 zero_gravi
            -- ALU arithmetic operation type and ADD/SUB --
828
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
829
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then
830
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_slt_c;
831 29 zero_gravi
            else
832 39 zero_gravi
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c;
833 25 zero_gravi
            end if;
834
 
835 29 zero_gravi
            -- ADD/SUB --
836
            if ((alu_immediate_v = '0') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) or -- not an immediate op and funct7.6 set => SUB
837
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or -- SLT operation
838
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then -- SLTU operation
839
              ctrl_nxt(ctrl_alu_addsub_c) <= '1'; -- SUB/SLT
840
            else
841
              ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
842
            end if;
843
 
844 39 zero_gravi
            -- ALU logic operation --
845
            case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.logic operation (re-coding)
846
              when funct3_xor_c => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_xor_c; -- XOR(I)
847
              when funct3_or_c  => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_or_c;  -- OR(I)
848
              when funct3_and_c => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_and_c; -- AND(I)
849
              when others       => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- undefined
850
            end case;
851
 
852
            -- cp access? --
853
            if (CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1') then -- MULDIV CP op?
854
              execute_engine.is_cp_op_nxt                        <= '1'; -- this is a CP operation
855
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
856
            -- ALU operation - function select --
857
            else
858
              execute_engine.is_cp_op_nxt <= '0'; -- no CP operation
859
              case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.func operation (re-coding)
860
                when funct3_xor_c | funct3_or_c | funct3_and_c => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c;
861
                when funct3_sll_c | funct3_sr_c                => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
862
                when others                                    => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c;
863
              end case;
864
            end if;
865
 
866 11 zero_gravi
            -- multi cycle alu operation? --
867 25 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or -- SLL shift operation?
868
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) or -- SR shift operation?
869 39 zero_gravi
               ((CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1')) then -- MULDIV CP op?
870 6 zero_gravi
              execute_engine.state_nxt <= ALU_WAIT;
871 26 zero_gravi
            else -- single cycle ALU operation
872 2 zero_gravi
              ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
873 6 zero_gravi
              execute_engine.state_nxt <= DISPATCH;
874 2 zero_gravi
            end if;
875
 
876 25 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC
877 2 zero_gravi
          -- ------------------------------------------------------------
878 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only)
879
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
880 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c)   <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
881
            ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
882 25 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI
883 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
884 27 zero_gravi
            else -- AUIPC
885 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
886 2 zero_gravi
            end if;
887 39 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
888
            ctrl_nxt(ctrl_rf_wb_en_c)      <= '1'; -- valid RF write-back
889
            execute_engine.state_nxt       <= DISPATCH;
890 2 zero_gravi
 
891 39 zero_gravi
          when opcode_load_c | opcode_store_c | opcode_atomic_c => -- load/store / atomic memory access
892 2 zero_gravi
          -- ------------------------------------------------------------
893 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA
894
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
895 39 zero_gravi
            ctrl_nxt(ctrl_bus_mo_we_c)   <= '1'; -- write to MAR and MDO (MDO only relevant for store)
896
            --
897
            if (CPU_EXTENSION_RISCV_A = false) or (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') then -- atomic (A) extension disabled or normal load/store
898
              execute_engine.state_nxt <= LOADSTORE_0;
899
            else -- atomic operation
900
              atomic_ctrl.env_start <= not execute_engine.i_reg(instr_funct5_lsb_c); -- LR: start LOCKED memory access environment
901
              if (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c) or -- store-conditional
902
                 (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) then -- load-reservate
903
                execute_engine.state_nxt <= LOADSTORE_0;
904
              else -- unimplemented (atomic) instruction
905
                execute_engine.state_nxt <= SYS_WAIT;
906
              end if;
907
            end if;
908 2 zero_gravi
 
909 29 zero_gravi
          when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register)
910 2 zero_gravi
          -- ------------------------------------------------------------
911
            -- compute target address --
912 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
913
            ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
914 29 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR
915
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base)
916
            else -- JAL / branch
917
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base)
918 2 zero_gravi
            end if;
919 29 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset)
920 39 zero_gravi
            --
921 29 zero_gravi
            execute_engine.is_jump_nxt <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- is this is a jump operation? (for JAL/JALR)
922 6 zero_gravi
            execute_engine.state_nxt   <= BRANCH;
923 2 zero_gravi
 
924 8 zero_gravi
          when opcode_fence_c => -- fence operations
925
          -- ------------------------------------------------------------
926 39 zero_gravi
            execute_engine.state_nxt <= FENCE_OP;
927 8 zero_gravi
 
928 2 zero_gravi
          when opcode_syscsr_c => -- system/csr access
929
          -- ------------------------------------------------------------
930 39 zero_gravi
            csr.re_nxt <= '1'; -- always read CSR (internally), only relevant for CSR-instructions
931
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system/environment
932
              execute_engine.state_nxt <= SYS_ENV;
933 13 zero_gravi
            else -- CSR access
934
              execute_engine.state_nxt <= CSR_ACCESS;
935 2 zero_gravi
            end if;
936
 
937
          when others => -- undefined
938
          -- ------------------------------------------------------------
939 39 zero_gravi
            execute_engine.state_nxt <= SYS_WAIT;
940 2 zero_gravi
 
941
        end case;
942
 
943 39 zero_gravi
 
944
      when SYS_ENV => -- system environment operation - execution
945 2 zero_gravi
      -- ------------------------------------------------------------
946 39 zero_gravi
        execute_engine.pc_mux_sel <= "11"; -- csr.mepc (only for MRET)
947
        case execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) is
948
          when funct12_ecall_c => -- ECALL
949
            trap_ctrl.env_call <= '1';
950
          when funct12_ebreak_c => -- EBREAK
951
            trap_ctrl.break_point <= '1';
952
          when funct12_mret_c => -- MRET
953
            trap_ctrl.env_end    <= '1';
954
            execute_engine.pc_we <= '1'; -- linear next PC
955
            fetch_engine.reset   <= '1';
956
            execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
957
          when funct12_wfi_c => -- WFI
958
            execute_engine.sleep_nxt <= '1'; -- good night
959
          when others => -- undefined
960
            NULL;
961
        end case;
962
        execute_engine.state_nxt <= SYS_WAIT;
963
 
964
 
965
      when CSR_ACCESS => -- read & write status and control register (CSR)
966
      -- ------------------------------------------------------------
967 27 zero_gravi
        -- CSR write access --
968 6 zero_gravi
        case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
969 25 zero_gravi
          when funct3_csrrw_c | funct3_csrrwi_c => -- CSRRW(I)
970 15 zero_gravi
            csr.we_nxt <= csr_acc_valid; -- always write CSR if valid access
971 27 zero_gravi
          when funct3_csrrs_c | funct3_csrrsi_c | funct3_csrrc_c | funct3_csrrci_c => -- CSRRS(I) / CSRRC(I)
972
            csr.we_nxt <= (not rs1_is_r0_v) and csr_acc_valid; -- write CSR if rs1/imm is not zero and if valid access
973 29 zero_gravi
          when others => -- invalid
974 27 zero_gravi
            csr.we_nxt <= '0';
975 2 zero_gravi
        end case;
976 27 zero_gravi
        -- register file write back --
977 12 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output
978 2 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
979 39 zero_gravi
        execute_engine.state_nxt  <= DISPATCH;
980 2 zero_gravi
 
981 39 zero_gravi
 
982 19 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation (shifter or CP) to finish
983 2 zero_gravi
      -- ------------------------------------------------------------
984 39 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
985
        ctrl_nxt(ctrl_rf_wb_en_c)      <= '1'; -- valid RF write-back (permanent write-back)
986 29 zero_gravi
        -- cp access or alu shift? --
987
        if (execute_engine.is_cp_op = '1') then
988 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
989 29 zero_gravi
        else
990 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
991 19 zero_gravi
        end if;
992
        -- wait for result --
993 6 zero_gravi
        if (alu_wait_i = '0') then
994 29 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
995 2 zero_gravi
        end if;
996
 
997 39 zero_gravi
 
998 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
999
      -- ------------------------------------------------------------
1000 39 zero_gravi
        -- get and store return address (only relevant for jump-and-link operations) --
1001
        ctrl_nxt(ctrl_alu_opb_mux_c)                         <= '1'; -- use IMM as ALU.OPB (next_pc from immediate generator = return address)
1002
        ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
1003
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c)   <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
1004
        ctrl_nxt(ctrl_rf_in_mux_msb_c)                       <= '0'; -- RF input = ALU result
1005
        ctrl_nxt(ctrl_rf_wb_en_c)                            <= execute_engine.is_jump; -- valid RF write-back? (is jump-and-link?)
1006
        -- destination address --
1007
        execute_engine.pc_mux_sel <= "01"; -- alu.add = branch/jump destination
1008 6 zero_gravi
        if (execute_engine.is_jump = '1') or (execute_engine.branch_taken = '1') then
1009 39 zero_gravi
          execute_engine.pc_we      <= '1'; -- update PC
1010 20 zero_gravi
          fetch_engine.reset        <= '1'; -- trigger new instruction fetch from modified PC
1011
          execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
1012
          execute_engine.state_nxt  <= SYS_WAIT;
1013 11 zero_gravi
        else
1014
          execute_engine.state_nxt <= DISPATCH;
1015 6 zero_gravi
        end if;
1016
 
1017 39 zero_gravi
 
1018
      when FENCE_OP => -- fence operations - execution
1019
      -- ------------------------------------------------------------
1020
        execute_engine.state_nxt  <= SYS_WAIT;
1021
        execute_engine.pc_mux_sel <= "00"; -- linear next PC = "refetch" next instruction
1022
        -- FENCE.I --
1023
        if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fencei_c(0)) and (CPU_EXTENSION_RISCV_Zifencei = true) then
1024
          execute_engine.pc_we        <= '1';
1025
          execute_engine.if_rst_nxt   <= '1'; -- this is a non-linear PC modification
1026
          fetch_engine.reset          <= '1';
1027
          ctrl_nxt(ctrl_bus_fencei_c) <= '1';
1028
        end if;
1029
        -- FENCE --
1030
        if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fence_c(0)) then
1031
          ctrl_nxt(ctrl_bus_fence_c) <= '1';
1032
        end if;
1033
 
1034
 
1035 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
1036 6 zero_gravi
      -- ------------------------------------------------------------
1037 39 zero_gravi
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (is_atomic_lr_v = '1') then -- normal load or atomic load-reservate
1038 12 zero_gravi
          ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request
1039 39 zero_gravi
        else -- store
1040 12 zero_gravi
          ctrl_nxt(ctrl_bus_wr_c) <= '1'; -- write request
1041
        end if;
1042
        execute_engine.state_nxt <= LOADSTORE_1;
1043 6 zero_gravi
 
1044 39 zero_gravi
 
1045 12 zero_gravi
      when LOADSTORE_1 => -- memory latency
1046 6 zero_gravi
      -- ------------------------------------------------------------
1047 39 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- write input data to MDI (only relevant for LOAD)
1048
        execute_engine.state_nxt   <= LOADSTORE_2;
1049 6 zero_gravi
 
1050 39 zero_gravi
 
1051 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
1052 6 zero_gravi
      -- ------------------------------------------------------------
1053 39 zero_gravi
        if (CPU_EXTENSION_RISCV_A = true) then -- only relevant for atomic operations
1054
          ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_atomic_c; -- SC: result comes from "atomic co-processor"
1055
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1056
        end if;
1057
        --
1058
        ctrl_nxt(ctrl_rf_in_mux_lsb_c) <= '0'; -- RF input = ALU.res or MEM
1059
        if (is_atomic_sc_v = '1') then
1060
          ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU.res
1061
        else
1062
          ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '1'; -- RF input = memory input (only relevant for LOAD)
1063
        end if;
1064
        --
1065
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for load operations)
1066
        -- wait for memory response --
1067 37 zero_gravi
        if ((ma_load_i or be_load_i or ma_store_i or be_store_i) = '1') then -- abort if exception
1068 39 zero_gravi
          atomic_ctrl.env_abort     <= '1'; -- LOCKED (atomic) memory access environment failed (forces SC result to be non-zero => failure)
1069
          ctrl_nxt(ctrl_rf_wb_en_c) <= is_atomic_sc_v; -- SC failes: allow write back of non-zero result
1070
          execute_engine.state_nxt  <= DISPATCH;
1071 26 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
1072 39 zero_gravi
          if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (is_atomic_lr_v = '1') or (is_atomic_sc_v = '1') then -- load / load-reservate / store conditional
1073
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1074 6 zero_gravi
          end if;
1075 39 zero_gravi
          atomic_ctrl.env_end      <= '1'; -- normal end of LOCKED (atomic) memory access environment
1076 6 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1077
        end if;
1078
 
1079 39 zero_gravi
 
1080 2 zero_gravi
      when others => -- undefined
1081
      -- ------------------------------------------------------------
1082 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1083 2 zero_gravi
 
1084
    end case;
1085 6 zero_gravi
  end process execute_engine_fsm_comb;
1086 2 zero_gravi
 
1087
 
1088 15 zero_gravi
-- ****************************************************************************************************************************
1089
-- Invalid Instruction / CSR access check
1090
-- ****************************************************************************************************************************
1091
 
1092
 
1093
  -- Illegal CSR Access Check ---------------------------------------------------------------
1094
  -- -------------------------------------------------------------------------------------------
1095 26 zero_gravi
  invalid_csr_access_check: process(execute_engine.i_reg, csr.privilege)
1096 15 zero_gravi
    variable is_m_mode_v : std_ulogic;
1097 30 zero_gravi
    variable csr_wacc_v  : std_ulogic; -- to check access to read-only CSRs
1098
--  variable csr_racc_v  : std_ulogic; -- to check access to write-only CSRs
1099 15 zero_gravi
  begin
1100
    -- are we in machine mode? --
1101 29 zero_gravi
    if (csr.privilege = priv_mode_m_c) then
1102 15 zero_gravi
      is_m_mode_v := '1';
1103 27 zero_gravi
    else
1104
      is_m_mode_v := '0';
1105 15 zero_gravi
    end if;
1106
 
1107 30 zero_gravi
    -- is this CSR instruction really going to write/read to/from a CSR? --
1108
    if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1109
       (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then
1110
      csr_wacc_v := '1'; -- always write CSR
1111
--    csr_racc_v := or_all_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); -- read allowed if rd != 0
1112
    else
1113
      csr_wacc_v := or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c)); -- write allowed if rs1/uimm5 != 0
1114
--    csr_racc_v := '1'; -- always read CSR
1115
    end if;
1116
 
1117 15 zero_gravi
    -- check CSR access --
1118 29 zero_gravi
    case execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) is
1119 30 zero_gravi
      when csr_mstatus_c   => csr_acc_valid <= is_m_mode_v; -- M-mode only
1120 39 zero_gravi
      when csr_misa_c      => csr_acc_valid <= is_m_mode_v;-- and (not csr_wacc_v); -- M-mode only, MISA is read-only in the NEORV32 but we don't cause an exception here for compatibility
1121 30 zero_gravi
      when csr_mie_c       => csr_acc_valid <= is_m_mode_v; -- M-mode only
1122
      when csr_mtvec_c     => csr_acc_valid <= is_m_mode_v; -- M-mode only
1123
      when csr_mscratch_c  => csr_acc_valid <= is_m_mode_v; -- M-mode only
1124
      when csr_mepc_c      => csr_acc_valid <= is_m_mode_v; -- M-mode only
1125
      when csr_mcause_c    => csr_acc_valid <= is_m_mode_v; -- M-mode only
1126
      when csr_mtval_c     => csr_acc_valid <= is_m_mode_v; -- M-mode only
1127
      when csr_mip_c       => csr_acc_valid <= is_m_mode_v; -- M-mode only
1128 15 zero_gravi
      --
1129 30 zero_gravi
      when csr_pmpcfg0_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 1)) and is_m_mode_v; -- M-mode only
1130
      when csr_pmpcfg1_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 5)) and is_m_mode_v; -- M-mode only
1131 15 zero_gravi
      --
1132 30 zero_gravi
      when csr_pmpaddr0_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 1)) and is_m_mode_v; -- M-mode only
1133
      when csr_pmpaddr1_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 2)) and is_m_mode_v; -- M-mode only
1134
      when csr_pmpaddr2_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 3)) and is_m_mode_v; -- M-mode only
1135
      when csr_pmpaddr3_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 4)) and is_m_mode_v; -- M-mode only
1136
      when csr_pmpaddr4_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 5)) and is_m_mode_v; -- M-mode only
1137
      when csr_pmpaddr5_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 6)) and is_m_mode_v; -- M-mode only
1138
      when csr_pmpaddr6_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 7)) and is_m_mode_v; -- M-mode only
1139
      when csr_pmpaddr7_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS >= 8)) and is_m_mode_v; -- M-mode only
1140 15 zero_gravi
      --
1141 30 zero_gravi
      when csr_mcycle_c    => csr_acc_valid <= is_m_mode_v; -- M-mode only
1142
      when csr_minstret_c  => csr_acc_valid <= is_m_mode_v; -- M-mode only
1143 15 zero_gravi
      --
1144 30 zero_gravi
      when csr_mcycleh_c   => csr_acc_valid <= is_m_mode_v; -- M-mode only
1145
      when csr_minstreth_c => csr_acc_valid <= is_m_mode_v; -- M-mode only
1146 15 zero_gravi
      --
1147 30 zero_gravi
      when csr_cycle_c     => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
1148
      when csr_time_c      => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
1149
      when csr_instret_c   => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
1150 15 zero_gravi
      --
1151 30 zero_gravi
      when csr_cycleh_c    => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
1152
      when csr_timeh_c     => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
1153
      when csr_instreth_c  => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
1154 22 zero_gravi
      --
1155 30 zero_gravi
      when csr_mvendorid_c => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
1156
      when csr_marchid_c   => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
1157
      when csr_mimpid_c    => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
1158
      when csr_mhartid_c   => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
1159 29 zero_gravi
      --
1160 30 zero_gravi
      when csr_mzext_c     => csr_acc_valid <= is_m_mode_v and (not csr_wacc_v); -- M-mode only, read-only
1161 29 zero_gravi
      --
1162 23 zero_gravi
      when others => csr_acc_valid <= '0'; -- undefined, invalid access
1163 15 zero_gravi
    end case;
1164
  end process invalid_csr_access_check;
1165
 
1166
 
1167 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
1168
  -- -------------------------------------------------------------------------------------------
1169 26 zero_gravi
  illegal_instruction_check: process(execute_engine, csr_acc_valid)
1170 36 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
1171 2 zero_gravi
  begin
1172 11 zero_gravi
    -- illegal instructions are checked in the EXECUTE stage
1173 36 zero_gravi
    -- the execute engine should not commit any illegal instruction
1174 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
1175 2 zero_gravi
      -- defaults --
1176
      illegal_instruction <= '0';
1177
      illegal_register    <= '0';
1178
 
1179 36 zero_gravi
      -- check opcode for rv32 --
1180
      if (execute_engine.i_reg(instr_opcode_lsb_c+1 downto instr_opcode_lsb_c) = "11") then
1181
        illegal_opcode_lsbs <= '0';
1182
      else
1183
        illegal_opcode_lsbs <= '1';
1184
      end if;
1185
 
1186 2 zero_gravi
      -- check instructions --
1187 36 zero_gravi
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
1188
      case opcode_v is
1189 2 zero_gravi
 
1190
        -- OPCODE check sufficient: LUI, UIPC, JAL --
1191
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c =>
1192
          illegal_instruction <= '0';
1193 23 zero_gravi
          -- illegal E-CPU register? --
1194
          if (CPU_EXTENSION_RISCV_E = true) and (execute_engine.i_reg(instr_rd_msb_c) = '1') then
1195
            illegal_register <= '1';
1196
          end if;
1197 2 zero_gravi
 
1198
        when opcode_alui_c => -- check ALUI funct7
1199 6 zero_gravi
          if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and
1200
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000")) or -- shift logical left
1201
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and
1202
              ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1203
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000"))) then -- shift right
1204 2 zero_gravi
            illegal_instruction <= '1';
1205
          else
1206
            illegal_instruction <= '0';
1207
          end if;
1208 23 zero_gravi
          -- illegal E-CPU register? --
1209
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1210
            illegal_register <= '1';
1211
          end if;
1212 39 zero_gravi
 
1213 2 zero_gravi
        when opcode_load_c => -- check LOAD funct3
1214 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
1215
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
1216
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1217
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1218
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1219 2 zero_gravi
            illegal_instruction <= '0';
1220
          else
1221
            illegal_instruction <= '1';
1222
          end if;
1223 23 zero_gravi
          -- illegal E-CPU register? --
1224
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1225
            illegal_register <= '1';
1226
          end if;
1227 39 zero_gravi
 
1228 2 zero_gravi
        when opcode_store_c => -- check STORE funct3
1229 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1230
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1231
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1232 2 zero_gravi
            illegal_instruction <= '0';
1233
          else
1234
            illegal_instruction <= '1';
1235
          end if;
1236 23 zero_gravi
          -- illegal E-CPU register? --
1237
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1238
            illegal_register <= '1';
1239
          end if;
1240 2 zero_gravi
 
1241
        when opcode_branch_c => -- check BRANCH funct3
1242 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1243
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1244
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1245
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1246
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1247
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1248 2 zero_gravi
            illegal_instruction <= '0';
1249
          else
1250
            illegal_instruction <= '1';
1251
          end if;
1252 23 zero_gravi
          -- illegal E-CPU register? --
1253
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1254
            illegal_register <= '1';
1255
          end if;
1256 2 zero_gravi
 
1257
        when opcode_jalr_c => -- check JALR funct3
1258 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1259 2 zero_gravi
            illegal_instruction <= '0';
1260
          else
1261
            illegal_instruction <= '1';
1262
          end if;
1263 23 zero_gravi
          -- illegal E-CPU register? --
1264
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1265
            illegal_register <= '1';
1266
          end if;
1267 2 zero_gravi
 
1268
        when opcode_alu_c => -- check ALU funct3 & funct7
1269 6 zero_gravi
          if (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then -- MULDIV
1270 11 zero_gravi
            if (CPU_EXTENSION_RISCV_M = false) then -- not implemented
1271 2 zero_gravi
              illegal_instruction <= '1';
1272
            end if;
1273 6 zero_gravi
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1274
                 (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and -- ADD/SUB or SRA/SRL check
1275
                ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1276
                 (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000")) then -- ADD/SUB or SRA/SRL select
1277 2 zero_gravi
            illegal_instruction <= '1';
1278
          else
1279
            illegal_instruction <= '0';
1280
          end if;
1281 23 zero_gravi
          -- illegal E-CPU register? --
1282
          if (CPU_EXTENSION_RISCV_E = true) and
1283
             ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1284
            illegal_register <= '1';
1285
          end if;
1286 2 zero_gravi
 
1287 8 zero_gravi
        when opcode_fence_c => -- fence instructions --
1288
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCE.I
1289
            illegal_instruction <= '0';
1290
          elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1291
            illegal_instruction <= '0';
1292
          else
1293
            illegal_instruction <= '1';
1294
          end if;
1295
 
1296 2 zero_gravi
        when opcode_syscsr_c => -- check system instructions --
1297
          -- CSR access --
1298 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1299
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1300
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1301
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1302
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1303
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c) then
1304 15 zero_gravi
            -- valid CSR access? --
1305
            if (csr_acc_valid = '1') then
1306 2 zero_gravi
              illegal_instruction <= '0';
1307
            else
1308
              illegal_instruction <= '1';
1309
            end if;
1310 23 zero_gravi
            -- illegal E-CPU register? --
1311
            if (CPU_EXTENSION_RISCV_E = true) then
1312
              if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR
1313
                illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1314
              else -- reg-imm CSR
1315
                illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1316
              end if;
1317
            end if;
1318 2 zero_gravi
 
1319
          -- ecall, ebreak, mret, wfi --
1320 6 zero_gravi
          elsif (execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c)  = "00000") and
1321
                (execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c) = "00000") then
1322 13 zero_gravi
            if (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1323 11 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1324 13 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_mret_c)   or -- MRET
1325
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_wfi_c) then  -- WFI
1326 2 zero_gravi
              illegal_instruction <= '0';
1327
            else
1328
              illegal_instruction <= '1';
1329
            end if;
1330
          else
1331
            illegal_instruction <= '1';
1332
          end if;
1333
 
1334 39 zero_gravi
        when opcode_atomic_c => -- atomic instructions --
1335
          if (CPU_EXTENSION_RISCV_A = true) and -- atomic memory operations (A extension) enabled
1336
             ((execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) or -- LR
1337
              (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c)) then -- SC
1338
            illegal_instruction <= '0';
1339
          else
1340
            illegal_instruction <= '1';
1341
          end if;
1342
 
1343 36 zero_gravi
        when others => -- undefined instruction -> illegal!
1344
          illegal_instruction <= '1';
1345 2 zero_gravi
 
1346
      end case;
1347
    else
1348 36 zero_gravi
      illegal_opcode_lsbs <= '0';
1349 2 zero_gravi
      illegal_instruction <= '0';
1350
      illegal_register    <= '0';
1351
    end if;
1352
  end process illegal_instruction_check;
1353
 
1354
  -- any illegal condition? --
1355 36 zero_gravi
  trap_ctrl.instr_il <= illegal_instruction or illegal_opcode_lsbs or illegal_register or illegal_compressed;
1356 2 zero_gravi
 
1357
 
1358 6 zero_gravi
-- ****************************************************************************************************************************
1359 38 zero_gravi
-- Exception and Interrupt (= Trap) Control
1360 6 zero_gravi
-- ****************************************************************************************************************************
1361 2 zero_gravi
 
1362
 
1363 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1364 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1365 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1366 2 zero_gravi
  begin
1367
    if (rstn_i = '0') then
1368 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1369
      trap_ctrl.irq_buf   <= (others => '0');
1370
      trap_ctrl.exc_ack   <= '0';
1371
      trap_ctrl.irq_ack   <= (others => '0');
1372
      trap_ctrl.cause     <= (others => '0');
1373
      trap_ctrl.env_start <= '0';
1374 2 zero_gravi
    elsif rising_edge(clk_i) then
1375
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1376
        -- exception buffer: misaligned load/store/instruction address
1377 6 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c)    <= (trap_ctrl.exc_buf(exception_lalign_c)    or ma_load_i)             and (not trap_ctrl.exc_ack);
1378
        trap_ctrl.exc_buf(exception_salign_c)    <= (trap_ctrl.exc_buf(exception_salign_c)    or ma_store_i)            and (not trap_ctrl.exc_ack);
1379
        trap_ctrl.exc_buf(exception_ialign_c)    <= (trap_ctrl.exc_buf(exception_ialign_c)    or trap_ctrl.instr_ma)    and (not trap_ctrl.exc_ack);
1380 2 zero_gravi
        -- exception buffer: load/store/instruction bus access error
1381 6 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c)   <= (trap_ctrl.exc_buf(exception_laccess_c)   or be_load_i)             and (not trap_ctrl.exc_ack);
1382
        trap_ctrl.exc_buf(exception_saccess_c)   <= (trap_ctrl.exc_buf(exception_saccess_c)   or be_store_i)            and (not trap_ctrl.exc_ack);
1383
        trap_ctrl.exc_buf(exception_iaccess_c)   <= (trap_ctrl.exc_buf(exception_iaccess_c)   or trap_ctrl.instr_be)    and (not trap_ctrl.exc_ack);
1384 2 zero_gravi
        -- exception buffer: illegal instruction / env call / break point
1385 6 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or trap_ctrl.env_call)    and (not trap_ctrl.exc_ack);
1386
        trap_ctrl.exc_buf(exception_break_c)     <= (trap_ctrl.exc_buf(exception_break_c)     or trap_ctrl.break_point) and (not trap_ctrl.exc_ack);
1387
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)    and (not trap_ctrl.exc_ack);
1388 18 zero_gravi
        -- interrupt buffer: machine software/external/timer interrupt
1389 14 zero_gravi
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and (trap_ctrl.irq_buf(interrupt_msw_irq_c)   or msw_irq_i)   and (not trap_ctrl.irq_ack(interrupt_msw_irq_c));
1390
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and (trap_ctrl.irq_buf(interrupt_mext_irq_c)  or mext_irq_i)  and (not trap_ctrl.irq_ack(interrupt_mext_irq_c));
1391
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and (trap_ctrl.irq_buf(interrupt_mtime_irq_c) or mtime_irq_i) and (not trap_ctrl.irq_ack(interrupt_mtime_irq_c));
1392 18 zero_gravi
        -- interrupt buffer: custom fast interrupts
1393 14 zero_gravi
        trap_ctrl.irq_buf(interrupt_firq_0_c)    <= csr.mie_firqe(0) and (trap_ctrl.irq_buf(interrupt_firq_0_c) or firq_i(0)) and (not trap_ctrl.irq_ack(interrupt_firq_0_c));
1394
        trap_ctrl.irq_buf(interrupt_firq_1_c)    <= csr.mie_firqe(1) and (trap_ctrl.irq_buf(interrupt_firq_1_c) or firq_i(1)) and (not trap_ctrl.irq_ack(interrupt_firq_1_c));
1395
        trap_ctrl.irq_buf(interrupt_firq_2_c)    <= csr.mie_firqe(2) and (trap_ctrl.irq_buf(interrupt_firq_2_c) or firq_i(2)) and (not trap_ctrl.irq_ack(interrupt_firq_2_c));
1396
        trap_ctrl.irq_buf(interrupt_firq_3_c)    <= csr.mie_firqe(3) and (trap_ctrl.irq_buf(interrupt_firq_3_c) or firq_i(3)) and (not trap_ctrl.irq_ack(interrupt_firq_3_c));
1397 6 zero_gravi
        -- trap control --
1398
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1399 11 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- exception/IRQ detected!
1400 39 zero_gravi
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP))) then -- sample IRQs in EXECUTE or TRAP state only to continue execution even if permanent IRQ
1401 13 zero_gravi
            trap_ctrl.cause     <= trap_ctrl.cause_nxt;   -- capture source ID for program (for mcause csr)
1402 7 zero_gravi
            trap_ctrl.exc_ack   <= '1';                   -- clear execption
1403
            trap_ctrl.irq_ack   <= trap_ctrl.irq_ack_nxt; -- capture and clear with interrupt ACK mask
1404 13 zero_gravi
            trap_ctrl.env_start <= '1';                   -- now execute engine can start trap handler
1405 2 zero_gravi
          end if;
1406 6 zero_gravi
        else -- trap waiting to get started
1407
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1408
            trap_ctrl.exc_ack   <= '0';
1409
            trap_ctrl.irq_ack   <= (others => '0');
1410
            trap_ctrl.env_start <= '0';
1411 2 zero_gravi
          end if;
1412
        end if;
1413
      end if;
1414
    end if;
1415 6 zero_gravi
  end process trap_controller;
1416 2 zero_gravi
 
1417
  -- any exception/interrupt? --
1418 27 zero_gravi
  trap_ctrl.exc_fire <= or_all_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked
1419
  trap_ctrl.irq_fire <= or_all_f(trap_ctrl.irq_buf) and csr.mstatus_mie; -- interrupts CAN be masked
1420 2 zero_gravi
 
1421
 
1422 6 zero_gravi
  -- Trap Priority Detector -----------------------------------------------------------------
1423
  -- -------------------------------------------------------------------------------------------
1424
  trap_priority: process(trap_ctrl)
1425 2 zero_gravi
  begin
1426
    -- defaults --
1427 6 zero_gravi
    trap_ctrl.cause_nxt   <= (others => '0');
1428
    trap_ctrl.irq_ack_nxt <= (others => '0');
1429 2 zero_gravi
 
1430 38 zero_gravi
    -- the following traps are caused by *asynchronous* exceptions (= interrupts)
1431 12 zero_gravi
    -- here we do need a specific acknowledge mask since several sources can trigger at once
1432 9 zero_gravi
 
1433 2 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1434 6 zero_gravi
    if (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1435 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mei_c;
1436 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mext_irq_c) <= '1';
1437 2 zero_gravi
 
1438
    -- interrupt: 1.7 machine timer interrupt --
1439 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then
1440 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mti_c;
1441 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mtime_irq_c) <= '1';
1442 2 zero_gravi
 
1443
    -- interrupt: 1.3 machine SW interrupt --
1444 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1445 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_msi_c;
1446 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_msw_irq_c) <= '1';
1447 2 zero_gravi
 
1448
 
1449 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1450
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1451
      trap_ctrl.cause_nxt <= trap_firq0_c;
1452
      trap_ctrl.irq_ack_nxt(interrupt_firq_0_c) <= '1';
1453
 
1454
    -- interrupt: 1.17 fast interrupt channel 1 --
1455
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1456
      trap_ctrl.cause_nxt <= trap_firq1_c;
1457
      trap_ctrl.irq_ack_nxt(interrupt_firq_1_c) <= '1';
1458
 
1459
    -- interrupt: 1.18 fast interrupt channel 2 --
1460
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1461
      trap_ctrl.cause_nxt <= trap_firq2_c;
1462
      trap_ctrl.irq_ack_nxt(interrupt_firq_2_c) <= '1';
1463
 
1464
    -- interrupt: 1.19 fast interrupt channel 3 --
1465
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1466
      trap_ctrl.cause_nxt <= trap_firq3_c;
1467
      trap_ctrl.irq_ack_nxt(interrupt_firq_3_c) <= '1';
1468
 
1469
 
1470 38 zero_gravi
    -- the following traps are caused by *synchronous* exceptions (= classic exceptions)
1471 12 zero_gravi
    -- here we do not need a specific acknowledge mask since only one exception (the one
1472 38 zero_gravi
    -- with highest priority) is evaluated at once
1473 4 zero_gravi
 
1474 38 zero_gravi
    -- exception: 0.1 instruction access fault --
1475 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1476 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iba_c;
1477 2 zero_gravi
 
1478 38 zero_gravi
    -- exception: 0.2 illegal instruction --
1479 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1480 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iil_c;
1481 2 zero_gravi
 
1482 38 zero_gravi
    -- exception: 0.0 instruction address misaligned --
1483 12 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1484
      trap_ctrl.cause_nxt <= trap_ima_c;
1485 2 zero_gravi
 
1486 12 zero_gravi
 
1487 38 zero_gravi
    -- exception: 0.11 environment call from M-mode --
1488 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1489 14 zero_gravi
      trap_ctrl.cause_nxt <= trap_menv_c;
1490 2 zero_gravi
 
1491 38 zero_gravi
    -- exception: 0.3 breakpoint --
1492 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1493 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_brk_c;
1494 2 zero_gravi
 
1495
 
1496 38 zero_gravi
    -- exception: 0.6 store address misaligned -
1497 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1498 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sma_c;
1499 2 zero_gravi
 
1500 38 zero_gravi
    -- exception: 0.4 load address misaligned --
1501 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1502 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lma_c;
1503 2 zero_gravi
 
1504 38 zero_gravi
    -- exception: 0.7 store access fault --
1505 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1506 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sbe_c;
1507 2 zero_gravi
 
1508 38 zero_gravi
    -- exception: 0.5 load access fault --
1509 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1510 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lbe_c;
1511 2 zero_gravi
 
1512
    -- undefined / not implemented --
1513
    else
1514 6 zero_gravi
      trap_ctrl.cause_nxt   <= (others => '0');
1515
      trap_ctrl.irq_ack_nxt <= (others => '0');
1516 2 zero_gravi
    end if;
1517 6 zero_gravi
  end process trap_priority;
1518 39 zero_gravi
 
1519
 
1520
  -- Atomic Operation Controller ------------------------------------------------------------
1521
  -- -------------------------------------------------------------------------------------------
1522
  atomics_controller: process(rstn_i, clk_i)
1523
  begin
1524
    if (rstn_i = '0') then
1525
      atomic_ctrl.lock       <= '0';
1526
      atomic_ctrl.env_end_ff <= '0';
1527
    elsif rising_edge(clk_i) then
1528
      if (CPU_EXTENSION_RISCV_A = true) then
1529
        if (atomic_ctrl.env_end_ff = '1') or -- normal termination
1530
           (atomic_ctrl.env_abort = '1') or  -- fast temrination (error)
1531
           (trap_ctrl.env_start = '1') then -- triggered trap -> failure
1532
          atomic_ctrl.lock <= '0';
1533
        elsif (atomic_ctrl.env_start = '1') then
1534
          atomic_ctrl.lock <= '1';
1535
        end if;
1536
        atomic_ctrl.env_end_ff <= atomic_ctrl.env_end;
1537
      else
1538
        atomic_ctrl.lock       <= '0';
1539
        atomic_ctrl.env_end_ff <= '0';
1540
      end if;
1541
    end if;
1542
  end process atomics_controller;
1543 6 zero_gravi
 
1544 2 zero_gravi
 
1545 6 zero_gravi
-- ****************************************************************************************************************************
1546
-- Control and Status Registers (CSRs)
1547
-- ****************************************************************************************************************************
1548 2 zero_gravi
 
1549 27 zero_gravi
  -- Control and Status Registers Write Data ------------------------------------------------
1550
  -- -------------------------------------------------------------------------------------------
1551 36 zero_gravi
  csr_write_data: process(execute_engine.i_reg, csr.rdata, rs1_i)
1552
    variable csr_operand_v : std_ulogic_vector(data_width_c-1 downto 0);
1553 27 zero_gravi
  begin
1554 36 zero_gravi
    -- CSR operand source --
1555
    if (execute_engine.i_reg(instr_funct3_msb_c) = '1') then -- immediate
1556
      csr_operand_v := (others => '0');
1557 38 zero_gravi
      csr_operand_v(4 downto 0) := execute_engine.i_reg(19 downto 15); -- uimm5
1558 36 zero_gravi
    else -- register
1559
      csr_operand_v := rs1_i;
1560
    end if;
1561 38 zero_gravi
    -- tiny ALU for CSR access operations --
1562 27 zero_gravi
    case execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c) is
1563 36 zero_gravi
      when "10"   => csr.wdata <= csr.rdata or csr_operand_v; -- CSRRS(I)
1564
      when "11"   => csr.wdata <= csr.rdata and (not csr_operand_v); -- CSRRC(I)
1565
      when others => csr.wdata <= csr_operand_v; -- CSRRW(I)
1566 27 zero_gravi
    end case;
1567
  end process csr_write_data;
1568
 
1569
 
1570 2 zero_gravi
  -- Control and Status Registers Write Access ----------------------------------------------
1571
  -- -------------------------------------------------------------------------------------------
1572
  csr_write_access: process(rstn_i, clk_i)
1573
  begin
1574
    if (rstn_i = '0') then
1575 11 zero_gravi
      csr.we <= '0';
1576
      --
1577 6 zero_gravi
      csr.mstatus_mie  <= '0';
1578
      csr.mstatus_mpie <= '0';
1579 29 zero_gravi
      csr.mstatus_mpp  <= priv_mode_m_c; -- start in MACHINE mode
1580
      csr.privilege    <= priv_mode_m_c; -- start in MACHINE mode
1581 6 zero_gravi
      csr.mie_msie     <= '0';
1582
      csr.mie_meie     <= '0';
1583
      csr.mie_mtie     <= '0';
1584 14 zero_gravi
      csr.mie_firqe    <= (others => '0');
1585 6 zero_gravi
      csr.mtvec        <= (others => '0');
1586 36 zero_gravi
      csr.mscratch     <= x"19880704"; -- :)
1587 12 zero_gravi
      csr.mepc         <= (others => '0');
1588
      csr.mcause       <= (others => '0');
1589 6 zero_gravi
      csr.mtval        <= (others => '0');
1590 15 zero_gravi
      csr.pmpcfg       <= (others => (others => '0'));
1591
      csr.pmpaddr      <= (others => (others => '0'));
1592 34 zero_gravi
      --
1593
      csr.mcycle       <= (others => '0');
1594
      csr.minstret     <= (others => '0');
1595
      csr.mcycleh      <= (others => '0');
1596
      csr.minstreth    <= (others => '0');
1597
      mcycle_msb       <= '0';
1598
      minstret_msb     <= '0';
1599 2 zero_gravi
    elsif rising_edge(clk_i) then
1600 29 zero_gravi
      -- write access? --
1601
      csr.we <= csr.we_nxt;
1602 36 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1603 4 zero_gravi
 
1604 36 zero_gravi
        -- --------------------------------------------------------------------------------
1605
        -- CSR access by application software
1606
        -- --------------------------------------------------------------------------------
1607
        if (csr.we = '1') then -- manual update
1608
          case execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) is
1609
 
1610
            -- machine trap setup --
1611
            -- --------------------------------------------------------------------
1612
            when csr_mstatus_c => -- R/W: mstatus - machine status register
1613
              csr.mstatus_mie  <= csr.wdata(03);
1614
              csr.mstatus_mpie <= csr.wdata(07);
1615
              if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
1616
                csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12);
1617
                csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12);
1618
              end if;
1619
            when csr_mie_c => -- R/W: mie - machine interrupt-enable register
1620 29 zero_gravi
              csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable
1621
              csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable
1622
              csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable
1623
              --
1624
              csr.mie_firqe(0) <= csr.wdata(16); -- fast interrupt channel 0
1625
              csr.mie_firqe(1) <= csr.wdata(17); -- fast interrupt channel 1
1626
              csr.mie_firqe(2) <= csr.wdata(18); -- fast interrupt channel 2
1627
              csr.mie_firqe(3) <= csr.wdata(19); -- fast interrupt channel 3
1628 36 zero_gravi
            when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1629 29 zero_gravi
              csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1630
 
1631 36 zero_gravi
            -- machine trap handling --
1632
            -- --------------------------------------------------------------------
1633
            when csr_mscratch_c =>  -- R/W: mscratch - machine scratch register
1634
              csr.mscratch <= csr.wdata;
1635
            when csr_mepc_c => -- R/W: mepc - machine exception program counter
1636
              csr.mepc <= csr.wdata(data_width_c-1 downto 1) & '0';
1637
            when csr_mcause_c => -- R/W: mcause - machine trap cause
1638
              csr.mcause <= (others => '0');
1639
              csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: interrupt, 0: exception
1640
              csr.mcause(4 downto 0)      <= csr.wdata(4 downto 0); -- identifier
1641
            when csr_mtval_c => -- R/W: mtval - machine bad address or instruction
1642
              csr.mtval <= csr.wdata;
1643 29 zero_gravi
 
1644 36 zero_gravi
            -- physical memory protection - configuration --
1645
            -- --------------------------------------------------------------------
1646
            when csr_pmpcfg0_c => -- R/W: pmpcfg0 - PMP configuration register 0
1647
              if (PMP_USE = true) and (PMP_NUM_REGIONS >= 1) then
1648
                for j in 0 to 3 loop -- bytes in pmpcfg CSR
1649
                  if ((j+1) <= PMP_NUM_REGIONS) then
1650
                    if (csr.pmpcfg(0+j)(7) = '0') then -- unlocked pmpcfg access
1651
                      csr.pmpcfg(0+j)(0) <= csr.wdata(j*8+0); -- R (rights.read)
1652
                      csr.pmpcfg(0+j)(1) <= csr.wdata(j*8+1); -- W (rights.write)
1653
                      csr.pmpcfg(0+j)(2) <= csr.wdata(j*8+2); -- X (rights.execute)
1654
                      csr.pmpcfg(0+j)(3) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_L
1655
                      csr.pmpcfg(0+j)(4) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_H - NAPOT/OFF only
1656
                      csr.pmpcfg(0+j)(5) <= '0'; -- reserved
1657
                      csr.pmpcfg(0+j)(6) <= '0'; -- reserved
1658
                      csr.pmpcfg(0+j)(7) <= csr.wdata(j*8+7); -- L (locked / rights also enforced in m-mode)
1659 29 zero_gravi
                    end if;
1660 36 zero_gravi
                  end if;
1661
                end loop; -- j (bytes in CSR)
1662 29 zero_gravi
              end if;
1663 36 zero_gravi
            when csr_pmpcfg1_c => -- R/W: pmpcfg1 - PMP configuration register 1
1664
              if (PMP_USE = true) and (PMP_NUM_REGIONS >= 5) then
1665
                for j in 0 to 3 loop -- bytes in pmpcfg CSR
1666
                  if ((j+1+4) <= PMP_NUM_REGIONS) then
1667
                    if (csr.pmpcfg(4+j)(7) = '0') then -- unlocked pmpcfg access
1668
                      csr.pmpcfg(4+j)(0) <= csr.wdata(j*8+0); -- R (rights.read)
1669
                      csr.pmpcfg(4+j)(1) <= csr.wdata(j*8+1); -- W (rights.write)
1670
                      csr.pmpcfg(4+j)(2) <= csr.wdata(j*8+2); -- X (rights.execute)
1671
                      csr.pmpcfg(4+j)(3) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_L
1672
                      csr.pmpcfg(4+j)(4) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_H - NAPOT/OFF only
1673
                      csr.pmpcfg(4+j)(5) <= '0'; -- reserved
1674
                      csr.pmpcfg(4+j)(6) <= '0'; -- reserved
1675
                      csr.pmpcfg(4+j)(7) <= csr.wdata(j*8+7); -- L (locked / rights also enforced in m-mode)
1676 29 zero_gravi
                    end if;
1677 36 zero_gravi
                  end if;
1678
                end loop; -- j (bytes in CSR)
1679 15 zero_gravi
              end if;
1680 4 zero_gravi
 
1681 36 zero_gravi
            -- physical memory protection - addresses --
1682
            -- --------------------------------------------------------------------
1683
            when csr_pmpaddr0_c | csr_pmpaddr1_c | csr_pmpaddr2_c | csr_pmpaddr3_c |
1684
                 csr_pmpaddr4_c | csr_pmpaddr5_c | csr_pmpaddr6_c | csr_pmpaddr7_c => -- R/W: pmpaddr0..7 - PMP address register 0..7
1685
              if (PMP_USE = true) then
1686
                for i in 0 to PMP_NUM_REGIONS-1 loop
1687
                  if (execute_engine.i_reg(23 downto 20) = std_ulogic_vector(to_unsigned(i, 4))) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
1688
                    csr.pmpaddr(i) <= csr.wdata(31 downto 1) & '0'; -- min granularity is 8 bytes -> bit zero cannot be configured
1689
                  end if;
1690
                end loop; -- i (CSRs)
1691
              end if;
1692 2 zero_gravi
 
1693 36 zero_gravi
            -- undefined --
1694
            -- --------------------------------------------------------------------
1695
            when others =>
1696
              NULL;
1697 29 zero_gravi
 
1698 36 zero_gravi
          end case;
1699 29 zero_gravi
 
1700 36 zero_gravi
        -- --------------------------------------------------------------------------------
1701
        -- CSR access by hardware
1702
        -- --------------------------------------------------------------------------------
1703
        else
1704
 
1705
          -- mepc & mtval: machine exception PC & machine trap value register --
1706
          -- --------------------------------------------------------------------
1707
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
1708
            if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS
1709
              csr.mepc  <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
1710
              csr.mtval <= (others => '0'); -- mtval is zero for interrupts
1711
            else -- for EXCEPTIONS (according to their priority)
1712
              csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
1713
              if (trap_ctrl.cause(4 downto 0) = trap_iba_c(4 downto 0)) or -- instruction access error OR
1714
                 (trap_ctrl.cause(4 downto 0) = trap_ima_c(4 downto 0)) or -- misaligned instruction address OR
1715
                 (trap_ctrl.cause(4 downto 0) = trap_brk_c(4 downto 0)) or -- breakpoint OR
1716
                 (trap_ctrl.cause(4 downto 0) = trap_menv_c(4 downto 0)) then -- environment call
1717
                csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
1718
              elsif (trap_ctrl.cause(4 downto 0) = trap_iil_c(4 downto 0)) then -- illegal instruction
1719
                csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself
1720
              else -- load/store misalignments/access errors
1721
                csr.mtval <= mar_i; -- faulting data access address
1722
              end if;
1723 2 zero_gravi
            end if;
1724
          end if;
1725
 
1726 36 zero_gravi
          -- mstatus: context switch --
1727
          -- --------------------------------------------------------------------
1728
          if (trap_ctrl.env_start_ack = '1') then -- ENTER: trap handler starting?
1729
            -- trap ID code --
1730
            csr.mcause <= (others => '0');
1731
            csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception
1732
            csr.mcause(4 downto 0)      <= trap_ctrl.cause(4 downto 0); -- identifier
1733
            --
1734
            csr.mstatus_mie  <= '0'; -- disable interrupts
1735
            csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
1736
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1737
              csr.privilege   <= priv_mode_m_c; -- execute trap in machine mode
1738
              csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode
1739 2 zero_gravi
            end if;
1740 36 zero_gravi
          elsif (trap_ctrl.env_end = '1') then -- EXIT: return from exception
1741
            csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
1742
            csr.mstatus_mpie <= '1';
1743
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1744
              csr.privilege   <= csr.mstatus_mpp; -- go back to previous privilege mode
1745
              csr.mstatus_mpp <= priv_mode_u_c;
1746 30 zero_gravi
            end if;
1747 2 zero_gravi
          end if;
1748 36 zero_gravi
          -- user mode NOT implemented --
1749
          if (CPU_EXTENSION_RISCV_U = false) then
1750
            csr.privilege   <= priv_mode_m_c;
1751
            csr.mstatus_mpp <= priv_mode_m_c;
1752 15 zero_gravi
          end if;
1753 29 zero_gravi
 
1754 36 zero_gravi
        end if; -- hardware csr access
1755 29 zero_gravi
 
1756 34 zero_gravi
      -- --------------------------------------------------------------------------------
1757
      -- Counter CSRs
1758
      -- --------------------------------------------------------------------------------
1759
 
1760
        -- mcycle (cycle) --
1761
        if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_mcycle_c) then -- write access
1762 36 zero_gravi
          csr.mcycle <= '0' & csr.wdata;
1763
          mcycle_msb <= '0';
1764 34 zero_gravi
        elsif (execute_engine.sleep = '0') then -- automatic update (if CPU is not in sleep mode)
1765
          csr.mcycle <= std_ulogic_vector(unsigned(csr.mcycle) + 1);
1766 36 zero_gravi
          mcycle_msb <= csr.mcycle(csr.mcycle'left);
1767 34 zero_gravi
        end if;
1768
 
1769
        -- mcycleh (cycleh) --
1770
        if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_mcycleh_c) then -- write access
1771
          csr.mcycleh <= csr.wdata(csr.mcycleh'left downto 0);
1772
        elsif ((mcycle_msb xor csr.mcycle(csr.mcycle'left)) = '1') then -- automatic update
1773
          csr.mcycleh <= std_ulogic_vector(unsigned(csr.mcycleh) + 1);
1774
        end if;
1775
 
1776
        -- minstret (instret) --
1777
        if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_minstret_c) then -- write access
1778 36 zero_gravi
          csr.minstret <= '0' & csr.wdata;
1779
          minstret_msb <= '0';
1780
        elsif (execute_engine.state_prev /= EXECUTE) and (execute_engine.state = EXECUTE) then -- automatic update (if CPU commits an instruction)
1781 34 zero_gravi
          csr.minstret <= std_ulogic_vector(unsigned(csr.minstret) + 1);
1782 36 zero_gravi
          minstret_msb <= csr.minstret(csr.minstret'left);
1783 34 zero_gravi
        end if;
1784
 
1785
        -- minstreth (instreth) --
1786
        if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_minstreth_c) then -- write access
1787
          csr.minstreth <= csr.wdata(csr.minstreth'left downto 0);
1788
        elsif ((minstret_msb xor csr.minstret(csr.minstret'left)) = '1') then -- automatic update
1789
          csr.minstreth <= std_ulogic_vector(unsigned(csr.minstreth) + 1);
1790
        end if;
1791 36 zero_gravi
 
1792 34 zero_gravi
      end if;
1793 2 zero_gravi
    end if;
1794
  end process csr_write_access;
1795
 
1796 36 zero_gravi
  -- PMP configuration output to bus unit --
1797 34 zero_gravi
  pmp_output: process(csr)
1798
  begin
1799
    pmp_addr_o <= (others => (others => '0'));
1800
    pmp_ctrl_o <= (others => (others => '0'));
1801
    if (PMP_USE = true) then
1802
      for i in 0 to PMP_NUM_REGIONS-1 loop
1803
        pmp_addr_o(i) <= csr.pmpaddr(i) & "00";
1804
        pmp_ctrl_o(i) <= csr.pmpcfg(i);
1805
      end loop; -- i
1806
    end if;
1807
  end process pmp_output;
1808
 
1809
 
1810 2 zero_gravi
  -- Control and Status Registers Read Access -----------------------------------------------
1811
  -- -------------------------------------------------------------------------------------------
1812
  csr_read_access: process(clk_i)
1813
  begin
1814
    if rising_edge(clk_i) then
1815 29 zero_gravi
      csr.re    <= csr.re_nxt; -- read access?
1816 35 zero_gravi
      csr.rdata <= (others => '0'); -- default output
1817 11 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.re = '1') then
1818 29 zero_gravi
        case execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) is
1819 11 zero_gravi
 
1820
          -- machine trap setup --
1821 29 zero_gravi
          when csr_mstatus_c => -- R/W: mstatus - machine status register
1822 27 zero_gravi
            csr.rdata(03) <= csr.mstatus_mie;  -- MIE
1823
            csr.rdata(07) <= csr.mstatus_mpie; -- MPIE
1824 29 zero_gravi
            csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low
1825
            csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high
1826
          when csr_misa_c => -- R/-: misa - ISA and extensions
1827 39 zero_gravi
            csr.rdata(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A);     -- A CPU extension
1828 27 zero_gravi
            csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
1829
            csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
1830
            csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
1831
            csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
1832
            csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
1833
            csr.rdata(23) <= '1';                                         -- X CPU extension (non-std extensions)
1834
            csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo)
1835
            csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi)
1836 29 zero_gravi
          when csr_mie_c => -- R/W: mie - machine interrupt-enable register
1837 27 zero_gravi
            csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable
1838
            csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable
1839
            csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable
1840 14 zero_gravi
            --
1841 27 zero_gravi
            csr.rdata(16) <= csr.mie_firqe(0); -- fast interrupt channel 0
1842
            csr.rdata(17) <= csr.mie_firqe(1); -- fast interrupt channel 1
1843
            csr.rdata(18) <= csr.mie_firqe(2); -- fast interrupt channel 2
1844
            csr.rdata(19) <= csr.mie_firqe(3); -- fast interrupt channel 3
1845 29 zero_gravi
          when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1846 27 zero_gravi
            csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1847 11 zero_gravi
 
1848
          -- machine trap handling --
1849 29 zero_gravi
          when csr_mscratch_c => -- R/W: mscratch - machine scratch register
1850 27 zero_gravi
            csr.rdata <= csr.mscratch;
1851 29 zero_gravi
          when csr_mepc_c => -- R/W: mepc - machine exception program counter
1852 27 zero_gravi
            csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0';
1853 35 zero_gravi
          when csr_mcause_c => -- R/W: mcause - machine trap cause
1854 27 zero_gravi
            csr.rdata <= csr.mcause;
1855 29 zero_gravi
          when csr_mtval_c => -- R/W: mtval - machine bad address or instruction
1856 27 zero_gravi
            csr.rdata <= csr.mtval;
1857 29 zero_gravi
          when csr_mip_c => -- R/W: mip - machine interrupt pending
1858 27 zero_gravi
            csr.rdata(03) <= trap_ctrl.irq_buf(interrupt_msw_irq_c);
1859
            csr.rdata(07) <= trap_ctrl.irq_buf(interrupt_mtime_irq_c);
1860
            csr.rdata(11) <= trap_ctrl.irq_buf(interrupt_mext_irq_c);
1861 14 zero_gravi
            --
1862 27 zero_gravi
            csr.rdata(16) <= trap_ctrl.irq_buf(interrupt_firq_0_c);
1863
            csr.rdata(17) <= trap_ctrl.irq_buf(interrupt_firq_1_c);
1864
            csr.rdata(18) <= trap_ctrl.irq_buf(interrupt_firq_2_c);
1865
            csr.rdata(19) <= trap_ctrl.irq_buf(interrupt_firq_3_c);
1866 11 zero_gravi
 
1867 37 zero_gravi
          -- physical memory protection - configuration --
1868 29 zero_gravi
          when csr_pmpcfg0_c => -- R/W: pmpcfg0 - physical memory protection configuration register 0
1869 15 zero_gravi
            if (PMP_USE = true) then
1870
              if (PMP_NUM_REGIONS >= 1) then
1871 27 zero_gravi
                csr.rdata(07 downto 00) <= csr.pmpcfg(0);
1872 15 zero_gravi
              end if;
1873
              if (PMP_NUM_REGIONS >= 2) then
1874 27 zero_gravi
                csr.rdata(15 downto 08) <= csr.pmpcfg(1);
1875 15 zero_gravi
              end if;
1876
              if (PMP_NUM_REGIONS >= 3) then
1877 27 zero_gravi
                csr.rdata(23 downto 16) <= csr.pmpcfg(2);
1878 15 zero_gravi
              end if;
1879
              if (PMP_NUM_REGIONS >= 4) then
1880 27 zero_gravi
                csr.rdata(31 downto 24) <= csr.pmpcfg(3);
1881 15 zero_gravi
              end if;
1882
            end if;
1883 29 zero_gravi
          when csr_pmpcfg1_c => -- R/W: pmpcfg1 - physical memory protection configuration register 1
1884 15 zero_gravi
            if (PMP_USE = true) then
1885
              if (PMP_NUM_REGIONS >= 5) then
1886 27 zero_gravi
                csr.rdata(07 downto 00) <= csr.pmpcfg(4);
1887 15 zero_gravi
              end if;
1888
              if (PMP_NUM_REGIONS >= 6) then
1889 27 zero_gravi
                csr.rdata(15 downto 08) <= csr.pmpcfg(5);
1890 15 zero_gravi
              end if;
1891
              if (PMP_NUM_REGIONS >= 7) then
1892 27 zero_gravi
                csr.rdata(23 downto 16) <= csr.pmpcfg(6);
1893 15 zero_gravi
              end if;
1894
              if (PMP_NUM_REGIONS >= 8) then
1895 27 zero_gravi
                csr.rdata(31 downto 24) <= csr.pmpcfg(7);
1896 15 zero_gravi
              end if;
1897
            end if;
1898
 
1899 37 zero_gravi
          -- physical memory protection - addresses --
1900 29 zero_gravi
          when csr_pmpaddr0_c => -- R/W: pmpaddr0 - physical memory protection address register 0
1901 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 1) then
1902 27 zero_gravi
              csr.rdata <= csr.pmpaddr(0);
1903 15 zero_gravi
              if (csr.pmpcfg(0)(4 downto 3) = "00") then -- mode = off
1904 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1905 15 zero_gravi
              else -- mode = NAPOT
1906 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1907 15 zero_gravi
              end if;
1908
            end if;
1909 29 zero_gravi
          when csr_pmpaddr1_c => -- R/W: pmpaddr1 - physical memory protection address register 1
1910 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 2) then
1911 27 zero_gravi
              csr.rdata <= csr.pmpaddr(1);
1912 15 zero_gravi
              if (csr.pmpcfg(1)(4 downto 3) = "00") then -- mode = off
1913 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1914 15 zero_gravi
              else -- mode = NAPOT
1915 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1916 15 zero_gravi
              end if;
1917
            end if;
1918 29 zero_gravi
          when csr_pmpaddr2_c => -- R/W: pmpaddr2 - physical memory protection address register 2
1919 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 3) then
1920 27 zero_gravi
              csr.rdata <= csr.pmpaddr(2);
1921 15 zero_gravi
              if (csr.pmpcfg(2)(4 downto 3) = "00") then -- mode = off
1922 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1923 15 zero_gravi
              else -- mode = NAPOT
1924 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1925 15 zero_gravi
              end if;
1926
            end if;
1927 29 zero_gravi
          when csr_pmpaddr3_c => -- R/W: pmpaddr3 - physical memory protection address register 3
1928 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 4) then
1929 27 zero_gravi
              csr.rdata <= csr.pmpaddr(3);
1930 15 zero_gravi
              if (csr.pmpcfg(3)(4 downto 3) = "00") then -- mode = off
1931 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1932 15 zero_gravi
              else -- mode = NAPOT
1933 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1934 15 zero_gravi
              end if;
1935
            end if;
1936 29 zero_gravi
          when csr_pmpaddr4_c => -- R/W: pmpaddr4 - physical memory protection address register 4
1937 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 5) then
1938 27 zero_gravi
              csr.rdata <= csr.pmpaddr(4);
1939 15 zero_gravi
              if (csr.pmpcfg(4)(4 downto 3) = "00") then -- mode = off
1940 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1941 15 zero_gravi
              else -- mode = NAPOT
1942 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1943 15 zero_gravi
              end if;
1944
            end if;
1945 29 zero_gravi
          when csr_pmpaddr5_c => -- R/W: pmpaddr5 - physical memory protection address register 5
1946 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 6) then
1947 27 zero_gravi
              csr.rdata <= csr.pmpaddr(5);
1948 15 zero_gravi
              if (csr.pmpcfg(5)(4 downto 3) = "00") then -- mode = off
1949 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1950 15 zero_gravi
              else -- mode = NAPOT
1951 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1952 15 zero_gravi
              end if;
1953
            end if;
1954 29 zero_gravi
          when csr_pmpaddr6_c => -- R/W: pmpaddr6 - physical memory protection address register 6
1955 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 7) then
1956 27 zero_gravi
              csr.rdata <= csr.pmpaddr(6);
1957 15 zero_gravi
              if (csr.pmpcfg(6)(4 downto 3) = "00") then -- mode = off
1958 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1959 15 zero_gravi
              else -- mode = NAPOT
1960 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1961 15 zero_gravi
              end if;
1962
            end if;
1963 29 zero_gravi
          when csr_pmpaddr7_c => -- R/W: pmpaddr7 - physical memory protection address register 7
1964 15 zero_gravi
            if (PMP_USE = true) and (PMP_NUM_REGIONS >= 8) then
1965 27 zero_gravi
              csr.rdata <= csr.pmpaddr(7);
1966 15 zero_gravi
              if (csr.pmpcfg(7)(4 downto 3) = "00") then -- mode = off
1967 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-1 downto 0) <= (others => '0'); -- required for granularity check by SW
1968 15 zero_gravi
              else -- mode = NAPOT
1969 27 zero_gravi
                csr.rdata(PMP_GRANULARITY-2 downto 0) <= (others => '1');
1970 15 zero_gravi
              end if;
1971
            end if;
1972
 
1973 29 zero_gravi
          -- counters and timers --
1974
          when csr_cycle_c | csr_mcycle_c => -- R/(W): [m]cycle: Cycle counter LOW
1975 27 zero_gravi
            csr.rdata <= csr.mcycle(31 downto 0);
1976 29 zero_gravi
          when csr_time_c => -- R/-: time: System time LOW (from MTIME unit)
1977 27 zero_gravi
            csr.rdata <= time_i(31 downto 0);
1978 29 zero_gravi
          when csr_instret_c | csr_minstret_c => -- R/(W): [m]instret: Instructions-retired counter LOW
1979 27 zero_gravi
            csr.rdata <= csr.minstret(31 downto 0);
1980 29 zero_gravi
          when csr_cycleh_c | csr_mcycleh_c => -- R/(W): [m]cycleh: Cycle counter HIGH
1981 27 zero_gravi
            csr.rdata <= csr.mcycleh(31 downto 0);
1982 29 zero_gravi
          when csr_timeh_c => -- R/-: timeh: System time HIGH (from MTIME unit)
1983 27 zero_gravi
            csr.rdata <= time_i(63 downto 32);
1984 29 zero_gravi
          when csr_instreth_c | csr_minstreth_c => -- R/(W): [m]instreth: Instructions-retired counter HIGH
1985 27 zero_gravi
            csr.rdata <= csr.minstreth(31 downto 0);
1986 11 zero_gravi
 
1987
          -- machine information registers --
1988 29 zero_gravi
          when csr_mvendorid_c => -- R/-: mvendorid - vendor ID
1989 27 zero_gravi
            csr.rdata <= (others => '0');
1990 34 zero_gravi
          when csr_marchid_c => -- R/-: marchid - arch ID
1991
            csr.rdata(4 downto 0) <= "10011"; -- official RISC-V open-source arch ID
1992 32 zero_gravi
          when csr_mimpid_c => -- R/-: mimpid - implementation ID
1993
            csr.rdata <= hw_version_c; -- NEORV32 hardware version
1994 29 zero_gravi
          when csr_mhartid_c => -- R/-: mhartid - hardware thread ID
1995 27 zero_gravi
            csr.rdata <= HW_THREAD_ID;
1996 11 zero_gravi
 
1997 22 zero_gravi
          -- custom machine read-only CSRs --
1998 39 zero_gravi
          when csr_mzext_c => -- R/-: mzext - available Z* extensions
1999 32 zero_gravi
            csr.rdata(0) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr);    -- RISC-V.Zicsr CPU extension
2000
            csr.rdata(1) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- RISC-V.Zifencei CPU extension
2001 33 zero_gravi
            csr.rdata(2) <= bool_to_ulogic_f(PMP_USE);                      -- RISC-V physical memory protection
2002 22 zero_gravi
 
2003 11 zero_gravi
          -- undefined/unavailable --
2004
          when others =>
2005 27 zero_gravi
            csr.rdata <= (others => '0'); -- not implemented
2006 11 zero_gravi
 
2007
        end case;
2008 2 zero_gravi
      end if;
2009
    end if;
2010
  end process csr_read_access;
2011
 
2012 27 zero_gravi
  -- CSR read data output --
2013
  csr_rdata_o <= csr.rdata;
2014
 
2015 12 zero_gravi
 
2016 2 zero_gravi
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.