OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Control >>                                                                   #
3
-- # ********************************************************************************************* #
4 31 zero_gravi
-- # CPU operation is split into a fetch engine (responsible for fetching instruction data), an    #
5
-- # issue engine (for recoding compressed instructions and for constructing 32-bit instruction    #
6
-- # words) and an execute engine (responsible for actually executing the instructions), a trap    #
7
-- # handling controller and the RISC-V status and control register set (CSRs).                    #
8 2 zero_gravi
-- # ********************************************************************************************* #
9
-- # BSD 3-Clause License                                                                          #
10
-- #                                                                                               #
11
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
12
-- #                                                                                               #
13
-- # Redistribution and use in source and binary forms, with or without modification, are          #
14
-- # permitted provided that the following conditions are met:                                     #
15
-- #                                                                                               #
16
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
17
-- #    conditions and the following disclaimer.                                                   #
18
-- #                                                                                               #
19
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
20
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
21
-- #    provided with the distribution.                                                            #
22
-- #                                                                                               #
23
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
24
-- #    endorse or promote products derived from this software without specific prior written      #
25
-- #    permission.                                                                                #
26
-- #                                                                                               #
27
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
28
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
29
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
30
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
31
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
32
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
33
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
34
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
35
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
36
-- # ********************************************************************************************* #
37
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
38
-- #################################################################################################
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
library neorv32;
45
use neorv32.neorv32_package.all;
46
 
47
entity neorv32_cpu_control is
48
  generic (
49
    -- General --
50 12 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
51
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
52 2 zero_gravi
    -- RISC-V CPU Extensions --
53 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
54 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
55
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
56
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
57 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
58 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
59 15 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
60
    -- Physical memory protection (PMP) --
61 40 zero_gravi
    PMP_USE                      : boolean := false  -- implement physical memory protection?
62 2 zero_gravi
  );
63
  port (
64
    -- global control --
65
    clk_i         : in  std_ulogic; -- global clock, rising edge
66
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
67
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
68
    -- status input --
69
    alu_wait_i    : in  std_ulogic; -- wait for ALU
70 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
71
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
72 2 zero_gravi
    -- data input --
73
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
74
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
75 36 zero_gravi
    alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
76
    rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
77 2 zero_gravi
    -- data output --
78
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
79 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
80
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
81 2 zero_gravi
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
82 14 zero_gravi
    -- interrupts (risc-v compliant) --
83
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
84
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
85 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
86 14 zero_gravi
    -- fast interrupts (custom) --
87
    firq_i        : in  std_ulogic_vector(3 downto 0);
88 11 zero_gravi
    -- system time input from MTIME --
89
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
90 15 zero_gravi
    -- physical memory protection --
91 23 zero_gravi
    pmp_addr_o    : out pmp_addr_if_t; -- addresses
92
    pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
93 2 zero_gravi
    -- bus access exceptions --
94
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0);  -- memory address register
95
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
96
    ma_load_i     : in  std_ulogic; -- misaligned load data address
97
    ma_store_i    : in  std_ulogic; -- misaligned store data address
98
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
99
    be_load_i     : in  std_ulogic; -- bus error on load data access
100 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
101 2 zero_gravi
  );
102
end neorv32_cpu_control;
103
 
104
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
105
 
106 6 zero_gravi
  -- instruction fetch enginge --
107 31 zero_gravi
  type fetch_engine_state_t is (IFETCH_RESET, IFETCH_REQUEST, IFETCH_ISSUE);
108 6 zero_gravi
  type fetch_engine_t is record
109 31 zero_gravi
    state       : fetch_engine_state_t;
110
    state_nxt   : fetch_engine_state_t;
111
    pc          : std_ulogic_vector(data_width_c-1 downto 0);
112
    pc_nxt      : std_ulogic_vector(data_width_c-1 downto 0);
113
    reset       : std_ulogic;
114
    bus_err_ack : std_ulogic;
115 6 zero_gravi
  end record;
116
  signal fetch_engine : fetch_engine_t;
117 2 zero_gravi
 
118 32 zero_gravi
  -- instrucion prefetch buffer (IPB, real FIFO) --
119 31 zero_gravi
  type ipb_data_fifo_t is array (0 to ipb_entries_c-1) of std_ulogic_vector(2+31 downto 0);
120 6 zero_gravi
  type ipb_t is record
121 31 zero_gravi
    wdata : std_ulogic_vector(2+31 downto 0); -- write status (bus_error, align_error) + 32-bit instruction data
122
    we    : std_ulogic; -- trigger write
123
    free  : std_ulogic; -- free entry available?
124
    clear : std_ulogic; -- clear all entries
125 20 zero_gravi
    --
126 31 zero_gravi
    rdata : std_ulogic_vector(2+31 downto 0); -- read data: status (bus_error, align_error) + 32-bit instruction data
127
    re    : std_ulogic; -- read enable
128
    avail : std_ulogic; -- data available?
129 20 zero_gravi
    --
130 31 zero_gravi
    w_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- write pointer
131
    r_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- read pointer
132 34 zero_gravi
    match : std_ulogic;
133 31 zero_gravi
    empty : std_ulogic;
134
    full  : std_ulogic;
135 20 zero_gravi
    --
136 31 zero_gravi
    data  : ipb_data_fifo_t; -- fifo memory
137 6 zero_gravi
  end record;
138
  signal ipb : ipb_t;
139 2 zero_gravi
 
140 31 zero_gravi
  -- pre-decoder --
141
  signal ci_instr16 : std_ulogic_vector(15 downto 0);
142
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
143
  signal ci_illegal : std_ulogic;
144
 
145
  -- instruction issue enginge --
146
  type issue_engine_state_t is (ISSUE_ACTIVE, ISSUE_REALIGN);
147
  type issue_engine_t is record
148
    state     : issue_engine_state_t;
149
    state_nxt : issue_engine_state_t;
150
    align     : std_ulogic;
151
    align_nxt : std_ulogic;
152
    buf       : std_ulogic_vector(2+15 downto 0);
153
    buf_nxt   : std_ulogic_vector(2+15 downto 0);
154
  end record;
155
  signal issue_engine : issue_engine_t;
156
 
157 37 zero_gravi
  -- instruction issue interface --
158
  type cmd_issue_t is record
159
    data  : std_ulogic_vector(35 downto 0); -- 4-bit status + 32-bit instruction
160
    valid : std_ulogic; -- data word is valid when set
161 31 zero_gravi
  end record;
162 37 zero_gravi
  signal cmd_issue : cmd_issue_t;
163 31 zero_gravi
 
164 6 zero_gravi
  -- instruction execution engine --
165 39 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP, EXECUTE, ALU_WAIT, BRANCH, FENCE_OP, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, SYS_ENV, CSR_ACCESS);
166 6 zero_gravi
  type execute_engine_t is record
167
    state        : execute_engine_state_t;
168
    state_nxt    : execute_engine_state_t;
169 39 zero_gravi
    --
170 6 zero_gravi
    i_reg        : std_ulogic_vector(31 downto 0);
171
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
172 33 zero_gravi
    i_reg_last   : std_ulogic_vector(31 downto 0); -- last executed instruction
173 39 zero_gravi
    --
174 6 zero_gravi
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
175
    is_ci_nxt    : std_ulogic;
176 29 zero_gravi
    is_cp_op     : std_ulogic; -- current instruction is a co-processor operation
177
    is_cp_op_nxt : std_ulogic;
178 39 zero_gravi
    --
179 6 zero_gravi
    branch_taken : std_ulogic; -- branch condition fullfilled
180
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
181 39 zero_gravi
    pc_mux_sel   : std_ulogic_vector(1 downto 0); -- source select for PC update
182
    pc_we        : std_ulogic; -- PC update enabled
183 6 zero_gravi
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
184
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
185 39 zero_gravi
    --
186 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
187 39 zero_gravi
    sleep_nxt    : std_ulogic;
188 20 zero_gravi
    if_rst       : std_ulogic; -- instruction fetch was reset
189 39 zero_gravi
    if_rst_nxt   : std_ulogic;
190 6 zero_gravi
  end record;
191
  signal execute_engine : execute_engine_t;
192 2 zero_gravi
 
193 6 zero_gravi
  -- trap controller --
194
  type trap_ctrl_t is record
195
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
196
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
197
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
198
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
199
    exc_ack       : std_ulogic; -- acknowledge all exceptions
200
    irq_ack       : std_ulogic_vector(interrupt_width_c-1 downto 0); -- acknowledge specific interrupt
201
    irq_ack_nxt   : std_ulogic_vector(interrupt_width_c-1 downto 0);
202 40 zero_gravi
    cause         : std_ulogic_vector(5 downto 0); -- trap ID for mcause CSR
203 14 zero_gravi
    cause_nxt     : std_ulogic_vector(5 downto 0);
204 6 zero_gravi
    --
205
    env_start     : std_ulogic; -- start trap handler env
206
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
207
    env_end       : std_ulogic; -- end trap handler env
208
    --
209
    instr_be      : std_ulogic; -- instruction fetch bus error
210
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
211
    instr_il      : std_ulogic; -- illegal instruction
212
    env_call      : std_ulogic;
213
    break_point   : std_ulogic;
214
  end record;
215
  signal trap_ctrl : trap_ctrl_t;
216 39 zero_gravi
 
217
  -- atomic operations controller --
218
  type atomic_ctrl_t is record
219
    env_start  : std_ulogic; -- begin atomic operations
220
    env_end    : std_ulogic; -- end atomic operations
221
    env_end_ff : std_ulogic; -- end atomic operations dealyed
222
    env_abort  : std_ulogic; -- atomic operations abort (results in failure)
223
    lock       : std_ulogic; -- lock status
224
  end record;
225
  signal atomic_ctrl : atomic_ctrl_t;
226 6 zero_gravi
 
227 40 zero_gravi
  -- CPU main control bus --
228 6 zero_gravi
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
229 2 zero_gravi
 
230 40 zero_gravi
  -- fast instruction fetch access --
231 6 zero_gravi
  signal bus_fast_ir : std_ulogic;
232 2 zero_gravi
 
233 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
234 40 zero_gravi
  type pmp_ctrl_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(7 downto 0);
235
  type pmp_addr_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(data_width_c-1 downto 0);
236 6 zero_gravi
  type csr_t is record
237 29 zero_gravi
    we           : std_ulogic; -- csr write enable
238 6 zero_gravi
    we_nxt       : std_ulogic;
239 29 zero_gravi
    re           : std_ulogic; -- csr read enable
240 6 zero_gravi
    re_nxt       : std_ulogic;
241 29 zero_gravi
    wdata        : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data
242
    rdata        : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
243
    --
244 6 zero_gravi
    mstatus_mie  : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
245 40 zero_gravi
    mstatus_mpie : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/W)
246 29 zero_gravi
    mstatus_mpp  : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode
247
    --
248 6 zero_gravi
    mie_msie     : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
249
    mie_meie     : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
250 40 zero_gravi
    mie_mtie     : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W)
251 14 zero_gravi
    mie_firqe    : std_ulogic_vector(3 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
252 29 zero_gravi
    --
253 40 zero_gravi
    mip_status   : std_ulogic_vector(interrupt_width_c-1  downto 0); -- current buffered IRQs
254
    mip_clear    : std_ulogic_vector(interrupt_width_c-1  downto 0); -- set bits clear the according buffered IRQ
255 29 zero_gravi
    --
256 40 zero_gravi
    privilege    : std_ulogic_vector(1 downto 0); -- hart's current privilege mode
257
    priv_m_mode  : std_ulogic; -- CPU in M-mode
258
    priv_u_mode  : std_ulogic; -- CPU in u-mode
259
    --
260 6 zero_gravi
    mepc         : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
261 40 zero_gravi
    mcause       : std_ulogic_vector(data_width_c-1 downto 0); -- mcause: machine trap cause (R/W)
262 12 zero_gravi
    mtvec        : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
263 11 zero_gravi
    mtval        : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or isntruction (R/W)
264 6 zero_gravi
    mscratch     : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
265 11 zero_gravi
    mcycle       : std_ulogic_vector(32 downto 0); -- mcycle (R/W), plus carry bit
266
    minstret     : std_ulogic_vector(32 downto 0); -- minstret (R/W), plus carry bit
267 40 zero_gravi
    mcycleh      : std_ulogic_vector(31 downto 0); -- mcycleh (R/W)
268
    minstreth    : std_ulogic_vector(31 downto 0); -- minstreth (R/W)
269 15 zero_gravi
    pmpcfg       : pmp_ctrl_t; -- physical memory protection - configuration registers
270
    pmpaddr      : pmp_addr_t; -- physical memory protection - address registers
271 6 zero_gravi
  end record;
272
  signal csr : csr_t;
273 2 zero_gravi
 
274 11 zero_gravi
  signal mcycle_msb   : std_ulogic;
275
  signal minstret_msb : std_ulogic;
276 2 zero_gravi
 
277 6 zero_gravi
  -- illegal instruction check --
278 36 zero_gravi
  signal illegal_opcode_lsbs : std_ulogic; -- if opcode != rv32
279 2 zero_gravi
  signal illegal_instruction : std_ulogic;
280
  signal illegal_register    : std_ulogic; -- only for E-extension
281
  signal illegal_compressed  : std_ulogic; -- only fir C-extension
282
 
283 15 zero_gravi
  -- access (privilege) check --
284
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
285
 
286 2 zero_gravi
begin
287
 
288 6 zero_gravi
-- ****************************************************************************************************************************
289 31 zero_gravi
-- Instruction Fetch (always fetches aligned 32-bit chunks of data)
290 6 zero_gravi
-- ****************************************************************************************************************************
291
 
292
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
293
  -- -------------------------------------------------------------------------------------------
294 31 zero_gravi
  fetch_engine_fsm_sync: process(rstn_i, clk_i)
295 6 zero_gravi
  begin
296
    if (rstn_i = '0') then
297
      fetch_engine.state <= IFETCH_RESET;
298 31 zero_gravi
      fetch_engine.pc    <= (others => '0');
299 6 zero_gravi
    elsif rising_edge(clk_i) then
300
      if (fetch_engine.reset = '1') then
301
        fetch_engine.state <= IFETCH_RESET;
302
      else
303
        fetch_engine.state <= fetch_engine.state_nxt;
304
      end if;
305 31 zero_gravi
      fetch_engine.pc <= fetch_engine.pc_nxt;
306 6 zero_gravi
    end if;
307
  end process fetch_engine_fsm_sync;
308
 
309 12 zero_gravi
  -- PC output --
310 31 zero_gravi
  fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0'; -- half-word aligned
311 6 zero_gravi
 
312 12 zero_gravi
 
313 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
314
  -- -------------------------------------------------------------------------------------------
315 31 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, execute_engine, ipb, instr_i, bus_i_wait_i, be_instr_i, ma_instr_i)
316 6 zero_gravi
  begin
317
    -- arbiter defaults --
318 31 zero_gravi
    bus_fast_ir              <= '0';
319
    fetch_engine.state_nxt   <= fetch_engine.state;
320
    fetch_engine.pc_nxt      <= fetch_engine.pc;
321
    fetch_engine.bus_err_ack <= '0';
322 6 zero_gravi
 
323
    -- instruction prefetch buffer interface --
324
    ipb.we    <= '0';
325 31 zero_gravi
    ipb.wdata <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store exception info and instruction word
326 6 zero_gravi
    ipb.clear <= '0';
327
 
328
    -- state machine --
329
    case fetch_engine.state is
330
 
331 31 zero_gravi
      when IFETCH_RESET => -- reset engine and prefetch buffer, get appilcation PC
332 6 zero_gravi
      -- ------------------------------------------------------------
333 31 zero_gravi
        fetch_engine.bus_err_ack <= '1'; -- acknowledge any instruction bus errors, the execute engine has to take care of them / terminate current transfer
334
        fetch_engine.pc_nxt      <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
335
        ipb.clear                <= '1'; -- clear prefetch buffer
336
        fetch_engine.state_nxt   <= IFETCH_REQUEST;
337 6 zero_gravi
 
338 31 zero_gravi
      when IFETCH_REQUEST => -- output current PC to bus system and request 32-bit (aligned!) instruction data
339 6 zero_gravi
      -- ------------------------------------------------------------
340 31 zero_gravi
        if (ipb.free = '1') then -- free entry in buffer?
341
          bus_fast_ir            <= '1'; -- fast instruction fetch request
342
          fetch_engine.state_nxt <= IFETCH_ISSUE;
343
        end if;
344 6 zero_gravi
 
345 31 zero_gravi
      when IFETCH_ISSUE => -- store instruction data to prefetch buffer
346 6 zero_gravi
      -- ------------------------------------------------------------
347 12 zero_gravi
        if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
348 39 zero_gravi
          fetch_engine.pc_nxt    <= std_ulogic_vector(unsigned(fetch_engine.pc) + 4);
349
          ipb.we                 <= '1';
350
          fetch_engine.state_nxt <= IFETCH_REQUEST;
351 6 zero_gravi
        end if;
352 11 zero_gravi
 
353 6 zero_gravi
      when others => -- undefined
354
      -- ------------------------------------------------------------
355
        fetch_engine.state_nxt <= IFETCH_RESET;
356
 
357
    end case;
358
  end process fetch_engine_fsm_comb;
359
 
360
 
361
-- ****************************************************************************************************************************
362
-- Instruction Prefetch Buffer
363
-- ****************************************************************************************************************************
364
 
365
 
366 20 zero_gravi
  -- Instruction Prefetch Buffer (FIFO) -----------------------------------------------------
367 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
368 36 zero_gravi
  instr_prefetch_buffer: process(clk_i)
369 6 zero_gravi
  begin
370 36 zero_gravi
    if rising_edge(clk_i) then
371 20 zero_gravi
      -- write port --
372 6 zero_gravi
      if (ipb.clear = '1') then
373 20 zero_gravi
        ipb.w_pnt <= (others => '0');
374 6 zero_gravi
      elsif (ipb.we = '1') then
375 20 zero_gravi
        ipb.w_pnt <= std_ulogic_vector(unsigned(ipb.w_pnt) + 1);
376
      end if;
377 37 zero_gravi
      if (ipb.we = '1') then -- write data
378 36 zero_gravi
        ipb.data(to_integer(unsigned(ipb.w_pnt(ipb.w_pnt'left-1 downto 0)))) <= ipb.wdata;
379
      end if;
380
      -- read port --
381 20 zero_gravi
      if (ipb.clear = '1') then
382
        ipb.r_pnt <= (others => '0');
383 6 zero_gravi
      elsif (ipb.re = '1') then
384 20 zero_gravi
        ipb.r_pnt <= std_ulogic_vector(unsigned(ipb.r_pnt) + 1);
385 6 zero_gravi
      end if;
386 20 zero_gravi
    end if;
387 36 zero_gravi
  end process instr_prefetch_buffer;
388 20 zero_gravi
 
389
  -- async read --
390 31 zero_gravi
  ipb.rdata <= ipb.data(to_integer(unsigned(ipb.r_pnt(ipb.r_pnt'left-1 downto 0))));
391 20 zero_gravi
 
392 6 zero_gravi
  -- status --
393 40 zero_gravi
  ipb.match <= '1' when (ipb.r_pnt(ipb.r_pnt'left-1 downto 0) = ipb.w_pnt(ipb.w_pnt'left-1 downto 0))  else '0';
394 34 zero_gravi
  ipb.full  <= '1' when (ipb.r_pnt(ipb.r_pnt'left) /= ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
395
  ipb.empty <= '1' when (ipb.r_pnt(ipb.r_pnt'left)  = ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
396 20 zero_gravi
  ipb.free  <= not ipb.full;
397
  ipb.avail <= not ipb.empty;
398 6 zero_gravi
 
399
 
400
-- ****************************************************************************************************************************
401 31 zero_gravi
-- Instruction Issue (recoding of compressed instructions and 32-bit instruction word construction)
402
-- ****************************************************************************************************************************
403
 
404
 
405
  -- Issue Engine FSM Sync ------------------------------------------------------------------
406
  -- -------------------------------------------------------------------------------------------
407
  issue_engine_fsm_sync: process(rstn_i, clk_i)
408
  begin
409
    if (rstn_i = '0') then
410
      issue_engine.state <= ISSUE_ACTIVE;
411 40 zero_gravi
      issue_engine.align <= CPU_BOOT_ADDR(1); -- 32- or 16-bit boundary
412 31 zero_gravi
      issue_engine.buf   <= (others => '0');
413
    elsif rising_edge(clk_i) then
414
      if (ipb.clear = '1') then
415
        if (CPU_EXTENSION_RISCV_C = true) then
416
          if (execute_engine.pc(1) = '1') then -- branch to unaligned address?
417
            issue_engine.state <= ISSUE_REALIGN;
418
            issue_engine.align <= '1'; -- aligned on 16-bit boundary
419
          else
420
            issue_engine.state <= issue_engine.state_nxt;
421
            issue_engine.align <= '0'; -- aligned on 32-bit boundary
422
          end if;
423
        else
424
          issue_engine.state <= issue_engine.state_nxt;
425
          issue_engine.align <= '0'; -- always aligned on 32-bit boundaries
426
        end if;
427
      else
428
        issue_engine.state <= issue_engine.state_nxt;
429
        issue_engine.align <= issue_engine.align_nxt;
430
      end if;
431
      issue_engine.buf <= issue_engine.buf_nxt;
432
    end if;
433
  end process issue_engine_fsm_sync;
434
 
435
 
436
  -- Issue Engine FSM Comb ------------------------------------------------------------------
437
  -- -------------------------------------------------------------------------------------------
438 37 zero_gravi
  issue_engine_fsm_comb: process(issue_engine, ipb, execute_engine, ci_illegal, ci_instr32)
439 31 zero_gravi
  begin
440
    -- arbiter defaults --
441
    issue_engine.state_nxt <= issue_engine.state;
442
    issue_engine.align_nxt <= issue_engine.align;
443
    issue_engine.buf_nxt   <= issue_engine.buf;
444
 
445
    -- instruction prefetch buffer interface defaults --
446
    ipb.re <= '0';
447
 
448 37 zero_gravi
    -- instruction issue interface defaults --
449
    -- cmd_issue.data = <illegal_compressed_instruction> & <bus_error & alignment_error> & <is_compressed_instrucion> & <32-bit_instruction_word>
450
    cmd_issue.data  <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
451
    cmd_issue.valid <= '0';
452 31 zero_gravi
 
453
    -- state machine --
454
    case issue_engine.state is
455
 
456
      when ISSUE_ACTIVE => -- issue instruction if available
457
      -- ------------------------------------------------------------
458
        if (ipb.avail = '1') then -- instructions available?
459
 
460
          if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- begin check in LOW instruction half-word
461 37 zero_gravi
            if (execute_engine.state = DISPATCH) then
462 39 zero_gravi
              cmd_issue.valid      <= '1';
463 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
464
              if (ipb.rdata(1 downto 0) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed and "aligned"
465 37 zero_gravi
                ipb.re <= '1';
466
                cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
467 31 zero_gravi
              else -- compressed
468 37 zero_gravi
                ipb.re <= '1';
469
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
470 31 zero_gravi
                issue_engine.align_nxt <= '1';
471
              end if;
472
            end if;
473
 
474
          else -- begin check in HIGH instruction half-word
475 37 zero_gravi
            if (execute_engine.state = DISPATCH) then
476 39 zero_gravi
              cmd_issue.valid      <= '1';
477 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
478
              if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed and "unaligned"
479 37 zero_gravi
                ipb.re <= '1';
480
                cmd_issue.data <= '0' & issue_engine.buf(17 downto 16) & '0' & (ipb.rdata(15 downto 0) & issue_engine.buf(15 downto 0));
481 31 zero_gravi
              else -- compressed
482 36 zero_gravi
                -- do not read from ipb here!
483 37 zero_gravi
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
484 31 zero_gravi
                issue_engine.align_nxt <= '0';
485
              end if;
486
            end if;
487
          end if;
488
        end if;
489
 
490
      when ISSUE_REALIGN => -- re-align input fifos after a branch to an unaligned address
491
      -- ------------------------------------------------------------
492
        issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16);
493
        if (ipb.avail = '1') then -- instructions available?
494
          ipb.re <= '1';
495
          issue_engine.state_nxt <= ISSUE_ACTIVE;
496
        end if;
497
 
498
      when others => -- undefined
499
      -- ------------------------------------------------------------
500
        issue_engine.state_nxt <= ISSUE_ACTIVE;
501
 
502
    end case;
503
  end process issue_engine_fsm_comb;
504
 
505
  -- 16-bit instruction: half-word select --
506
  ci_instr16 <= ipb.rdata(15 downto 0) when (issue_engine.align = '0') else issue_engine.buf(15 downto 0);
507
 
508
 
509
  -- Compressed Instructions Recoding -------------------------------------------------------
510
  -- -------------------------------------------------------------------------------------------
511
  neorv32_cpu_decompressor_inst_true:
512
  if (CPU_EXTENSION_RISCV_C = true) generate
513
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
514
    port map (
515
      -- instruction input --
516
      ci_instr16_i => ci_instr16, -- compressed instruction input
517
      -- instruction output --
518
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
519
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
520
    );
521
  end generate;
522
 
523
  neorv32_cpu_decompressor_inst_false:
524
  if (CPU_EXTENSION_RISCV_C = false) generate
525
    ci_instr32 <= (others => '0');
526
    ci_illegal <= '0';
527
  end generate;
528
 
529
 
530
-- ****************************************************************************************************************************
531 6 zero_gravi
-- Instruction Execution
532
-- ****************************************************************************************************************************
533
 
534
 
535 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
536
  -- -------------------------------------------------------------------------------------------
537 38 zero_gravi
  imm_gen: process(execute_engine.i_reg, clk_i)
538 37 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
539 2 zero_gravi
  begin
540 38 zero_gravi
    opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
541 2 zero_gravi
    if rising_edge(clk_i) then
542 40 zero_gravi
      if (execute_engine.state = BRANCH) then -- next_PC as immediate for jump-and-link operations (=return address)
543 39 zero_gravi
        imm_o <= execute_engine.next_pc;
544 40 zero_gravi
      else -- "normal" immediate from instruction
545 39 zero_gravi
        case opcode_v is -- save some bits here, LSBs are always 11 for rv32
546
          when opcode_store_c => -- S-immediate
547
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
548
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
549
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
550
            imm_o(00)           <= execute_engine.i_reg(07);
551
          when opcode_branch_c => -- B-immediate
552
            imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
553
            imm_o(11)           <= execute_engine.i_reg(07);
554
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
555
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
556
            imm_o(00)           <= '0';
557
          when opcode_lui_c | opcode_auipc_c => -- U-immediate
558
            imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
559
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
560
            imm_o(11 downto 00) <= (others => '0');
561
          when opcode_jal_c => -- J-immediate
562
            imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
563
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
564
            imm_o(11)           <= execute_engine.i_reg(20);
565
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
566
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
567
            imm_o(00)           <= '0';
568
          when opcode_atomic_c => -- atomic memory access
569 40 zero_gravi
            imm_o               <= (others => '0'); -- effective address is addr = reg + 0 = reg
570 39 zero_gravi
          when others => -- I-immediate
571
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
572
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
573
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
574
            imm_o(00)           <= execute_engine.i_reg(20);
575
        end case;
576
      end if;
577 2 zero_gravi
    end if;
578
  end process imm_gen;
579
 
580
 
581
  -- Branch Condition Check -----------------------------------------------------------------
582
  -- -------------------------------------------------------------------------------------------
583 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
584 2 zero_gravi
  begin
585 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
586 2 zero_gravi
      when funct3_beq_c => -- branch if equal
587 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_equal_c);
588 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
589 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_equal_c);
590 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
591 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_less_c);
592 2 zero_gravi
      when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned)
593 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_less_c);
594 2 zero_gravi
      when others => -- undefined
595 6 zero_gravi
        execute_engine.branch_taken <= '0';
596 2 zero_gravi
    end case;
597
  end process branch_check;
598
 
599
 
600 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
601 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
602 12 zero_gravi
  -- for registers that DO require a specific reset state --
603 6 zero_gravi
  execute_engine_fsm_sync_rst: process(rstn_i, clk_i)
604 2 zero_gravi
  begin
605
    if (rstn_i = '0') then
606 40 zero_gravi
      execute_engine.pc     <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
607
      execute_engine.state  <= SYS_WAIT;
608
      execute_engine.sleep  <= '0';
609
      execute_engine.if_rst <= '1'; -- instruction fetch is reset after system reset
610 2 zero_gravi
    elsif rising_edge(clk_i) then
611 39 zero_gravi
      -- PC update --
612
      if (execute_engine.pc_we = '1') then
613
        case execute_engine.pc_mux_sel is
614
          when "00"   => execute_engine.pc <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- normal (linear) increment
615
          when "01"   => execute_engine.pc <= alu_add_i(data_width_c-1 downto 1) & '0'; -- jump/branch
616 40 zero_gravi
          when "10"   => execute_engine.pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
617 39 zero_gravi
          when others => execute_engine.pc <= csr.mepc(data_width_c-1 downto 1) & '0'; -- trap return
618
        end case;
619
      end if;
620
      --
621 40 zero_gravi
      execute_engine.state  <= execute_engine.state_nxt;
622
      execute_engine.sleep  <= execute_engine.sleep_nxt;
623
      execute_engine.if_rst <= execute_engine.if_rst_nxt;
624 2 zero_gravi
    end if;
625 6 zero_gravi
  end process execute_engine_fsm_sync_rst;
626 2 zero_gravi
 
627 6 zero_gravi
 
628 12 zero_gravi
  -- for registers that do NOT require a specific reset state --
629 6 zero_gravi
  execute_engine_fsm_sync: process(clk_i)
630 2 zero_gravi
  begin
631
    if rising_edge(clk_i) then
632 40 zero_gravi
      execute_engine.i_reg    <= execute_engine.i_reg_nxt;
633
      execute_engine.is_ci    <= execute_engine.is_ci_nxt;
634
      execute_engine.is_cp_op <= execute_engine.is_cp_op_nxt;
635 39 zero_gravi
      -- next PC (next linear instruction) --
636 40 zero_gravi
      if (execute_engine.state = EXECUTE) then
637
        if (execute_engine.is_ci = '1') then -- compressed instruction?
638
          execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + 2);
639
        else
640
          execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + 4);
641
        end if;
642 37 zero_gravi
      end if;
643 39 zero_gravi
      -- PC & IR of last "executed" instruction --
644
      if (execute_engine.state = EXECUTE) then
645 40 zero_gravi
        execute_engine.last_pc    <= execute_engine.pc;
646 39 zero_gravi
        execute_engine.i_reg_last <= execute_engine.i_reg;
647
      end if;
648
      -- main control bus --
649 6 zero_gravi
      ctrl <= ctrl_nxt;
650 2 zero_gravi
    end if;
651 6 zero_gravi
  end process execute_engine_fsm_sync;
652 2 zero_gravi
 
653 20 zero_gravi
  -- PC output --
654 39 zero_gravi
  curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- PC for ALU ops
655 6 zero_gravi
 
656 20 zero_gravi
 
657 6 zero_gravi
  -- CPU Control Bus Output -----------------------------------------------------------------
658
  -- -------------------------------------------------------------------------------------------
659 40 zero_gravi
  ctrl_output: process(ctrl, fetch_engine, trap_ctrl, atomic_ctrl, bus_fast_ir, execute_engine, csr)
660 2 zero_gravi
  begin
661 36 zero_gravi
    -- signals from execute engine --
662 2 zero_gravi
    ctrl_o <= ctrl;
663 36 zero_gravi
    -- current privilege level --
664
    ctrl_o(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) <= csr.privilege;
665
    -- register addresses --
666 40 zero_gravi
    ctrl_o(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c);
667
    ctrl_o(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c);
668
    ctrl_o(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c)  <= execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c);
669 12 zero_gravi
    -- fast bus access requests --
670 36 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= bus_fast_ir;
671 12 zero_gravi
    -- bus error control --
672
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack;
673
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack;
674 36 zero_gravi
    -- instruction's function blocks (for co-processors) --
675
    ctrl_o(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_0_c) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c);
676
    ctrl_o(ctrl_ir_funct3_2_c   downto ctrl_ir_funct3_0_c)  <= execute_engine.i_reg(instr_funct3_msb_c  downto instr_funct3_lsb_c);
677 39 zero_gravi
    -- locked bus operation (for atomica memory operations) --
678
    ctrl_o(ctrl_bus_lock_c) <= atomic_ctrl.lock; -- (bus) lock status
679 6 zero_gravi
  end process ctrl_output;
680 2 zero_gravi
 
681
 
682 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
683
  -- -------------------------------------------------------------------------------------------
684 37 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, fetch_engine, cmd_issue, trap_ctrl, csr, ctrl, csr_acc_valid,
685 39 zero_gravi
                                   alu_wait_i, bus_d_wait_i, ma_load_i, be_load_i, ma_store_i, be_store_i)
686 2 zero_gravi
    variable alu_immediate_v : std_ulogic;
687
    variable rs1_is_r0_v     : std_ulogic;
688 36 zero_gravi
    variable opcode_v        : std_ulogic_vector(6 downto 0);
689 39 zero_gravi
    variable is_atomic_lr_v  : std_ulogic;
690
    variable is_atomic_sc_v  : std_ulogic;
691 2 zero_gravi
  begin
692
    -- arbiter defaults --
693 29 zero_gravi
    execute_engine.state_nxt    <= execute_engine.state;
694
    execute_engine.i_reg_nxt    <= execute_engine.i_reg;
695
    execute_engine.is_cp_op_nxt <= execute_engine.is_cp_op;
696
    execute_engine.is_ci_nxt    <= execute_engine.is_ci;
697
    execute_engine.sleep_nxt    <= execute_engine.sleep;
698
    execute_engine.if_rst_nxt   <= execute_engine.if_rst;
699 39 zero_gravi
    --
700
    execute_engine.pc_mux_sel   <= (others => '0');
701
    execute_engine.pc_we        <= '0';
702 2 zero_gravi
 
703 6 zero_gravi
    -- instruction dispatch --
704 37 zero_gravi
    fetch_engine.reset          <= '0';
705 2 zero_gravi
 
706 6 zero_gravi
    -- trap environment control --
707 37 zero_gravi
    trap_ctrl.env_start_ack     <= '0';
708
    trap_ctrl.env_end           <= '0';
709 6 zero_gravi
 
710 2 zero_gravi
    -- exception trigger --
711 37 zero_gravi
    trap_ctrl.instr_be          <= '0';
712
    trap_ctrl.instr_ma          <= '0';
713
    trap_ctrl.env_call          <= '0';
714
    trap_ctrl.break_point       <= '0';
715
    illegal_compressed          <= '0';
716 2 zero_gravi
 
717 6 zero_gravi
    -- CSR access --
718 37 zero_gravi
    csr.we_nxt                  <= '0';
719
    csr.re_nxt                  <= '0';
720 6 zero_gravi
 
721 39 zero_gravi
    -- atomic operations control --
722
    atomic_ctrl.env_start       <= '0';
723
    atomic_ctrl.env_end         <= '0';
724
    atomic_ctrl.env_abort       <= '0';
725
 
726
    -- CONTROL DEFAULTS --
727 36 zero_gravi
    ctrl_nxt <= (others => '0'); -- default: all off
728 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
729 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation? (SLTIU, SLTU)
730 2 zero_gravi
    else -- branches
731 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches? (BLTU, BGEU)
732 2 zero_gravi
    end if;
733 40 zero_gravi
    -- memory access --
734 39 zero_gravi
    ctrl_nxt(ctrl_bus_unsigned_c)                            <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
735
    ctrl_nxt(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size
736
    -- alu.shifter --
737 27 zero_gravi
    ctrl_nxt(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
738
    ctrl_nxt(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
739 40 zero_gravi
    -- ALU main control --
740
    ctrl_nxt(ctrl_alu_addsub_c)                         <= '0'; -- ADD(I)
741
    ctrl_nxt(ctrl_alu_func1_c  downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- default ALU function select: arithmetic
742
    ctrl_nxt(ctrl_alu_arith_c)                          <= alu_arith_cmd_addsub_c; -- default ALU arithmetic operation: ADDSUB
743 2 zero_gravi
 
744 26 zero_gravi
    -- is immediate ALU operation? --
745
    alu_immediate_v := not execute_engine.i_reg(instr_opcode_msb_c-1);
746 2 zero_gravi
 
747 26 zero_gravi
    -- is rs1 == r0? --
748
    rs1_is_r0_v := not or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c));
749 2 zero_gravi
 
750 39 zero_gravi
    -- is atomic load-reservate/store-conditional? --
751
    if (CPU_EXTENSION_RISCV_A = true) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') then -- valid atomic sub-opcode
752
      is_atomic_lr_v := not execute_engine.i_reg(instr_funct5_lsb_c);
753
      is_atomic_sc_v :=     execute_engine.i_reg(instr_funct5_lsb_c);
754
    else
755
      is_atomic_lr_v := '0';
756
      is_atomic_sc_v := '0';
757
    end if;
758 26 zero_gravi
 
759 39 zero_gravi
 
760 6 zero_gravi
    -- state machine --
761
    case execute_engine.state is
762 2 zero_gravi
 
763 25 zero_gravi
      when SYS_WAIT => -- System delay cycle (used to wait for side effects to kick in) ((and to init r0 with zero if it is a physical register))
764 2 zero_gravi
      -- ------------------------------------------------------------
765 26 zero_gravi
        -- set reg_file's r0 to zero --
766 25 zero_gravi
        if (rf_r0_is_reg_c = true) then -- is r0 implemented as physical register, which has to be set to zero?
767 37 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output (hacky! results zero since there is no valid CSR_read)
768 36 zero_gravi
          ctrl_nxt(ctrl_rf_r0_we_c) <= '1'; -- force RF write access and force rd=r0
769 25 zero_gravi
        end if;
770
        --
771 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
772 2 zero_gravi
 
773 39 zero_gravi
 
774 37 zero_gravi
      when DISPATCH => -- Get new command from instruction issue engine
775 25 zero_gravi
      -- ------------------------------------------------------------
776 39 zero_gravi
        execute_engine.pc_mux_sel <= "00"; -- linear next PC
777 40 zero_gravi
        -- IR update --
778
        execute_engine.is_ci_nxt <= cmd_issue.data(32); -- flag to indicate a de-compressed instruction beeing executed
779
        execute_engine.i_reg_nxt <= cmd_issue.data(31 downto 0);
780
        --
781 37 zero_gravi
        if (cmd_issue.valid = '1') then -- instruction available?
782 40 zero_gravi
          -- IR update - exceptions --
783
          trap_ctrl.instr_ma <= cmd_issue.data(33); -- misaligned instruction fetch address
784
          trap_ctrl.instr_be <= cmd_issue.data(34); -- bus access fault during instruction fetch
785
          illegal_compressed <= cmd_issue.data(35); -- invalid decompressed instruction
786 37 zero_gravi
          -- PC update --
787 27 zero_gravi
          execute_engine.if_rst_nxt <= '0';
788 40 zero_gravi
          execute_engine.pc_we      <= not execute_engine.if_rst; -- update PC with linear next_pc if there was NO non-linear PC modification
789
          -- any reason to go to trap state? --
790 37 zero_gravi
          if (execute_engine.sleep = '1') or (trap_ctrl.env_start = '1') or (trap_ctrl.exc_fire = '1') or ((cmd_issue.data(33) or cmd_issue.data(34)) = '1') then
791 13 zero_gravi
            execute_engine.state_nxt <= TRAP;
792
          else
793 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
794 13 zero_gravi
          end if;
795
        end if;
796 2 zero_gravi
 
797 39 zero_gravi
 
798 11 zero_gravi
      when TRAP => -- Start trap environment (also used as cpu sleep state)
799 2 zero_gravi
      -- ------------------------------------------------------------
800 39 zero_gravi
        execute_engine.pc_mux_sel <= "10"; -- csr.mtvec (trap)
801 40 zero_gravi
        fetch_engine.reset        <= '1';
802
        execute_engine.if_rst_nxt <= '1'; -- this will be a non-linear PC modification
803 34 zero_gravi
        if (trap_ctrl.env_start = '1') then -- trap triggered?
804
          trap_ctrl.env_start_ack   <= '1';
805 39 zero_gravi
          execute_engine.pc_we      <= '1';
806 34 zero_gravi
          execute_engine.sleep_nxt  <= '0'; -- waky waky
807
          execute_engine.state_nxt  <= SYS_WAIT;
808 2 zero_gravi
        end if;
809
 
810 39 zero_gravi
 
811 40 zero_gravi
      when EXECUTE => -- Decode and execute instruction (control has to be here for excatly 1 cyle in any case!)
812 2 zero_gravi
      -- ------------------------------------------------------------
813 36 zero_gravi
        opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
814
        case opcode_v is
815 2 zero_gravi
 
816 25 zero_gravi
          when opcode_alu_c | opcode_alui_c => -- (immediate) ALU operation
817 2 zero_gravi
          -- ------------------------------------------------------------
818 39 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c)   <= '0'; -- use RS1 as ALU.OPA
819
            ctrl_nxt(ctrl_alu_opb_mux_c)   <= alu_immediate_v; -- use IMM as ALU.OPB for immediate operations
820
            ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
821 25 zero_gravi
 
822 39 zero_gravi
            -- ALU arithmetic operation type and ADD/SUB --
823
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
824
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then
825
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_slt_c;
826 29 zero_gravi
            else
827 39 zero_gravi
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c;
828 25 zero_gravi
            end if;
829
 
830 29 zero_gravi
            -- ADD/SUB --
831
            if ((alu_immediate_v = '0') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) or -- not an immediate op and funct7.6 set => SUB
832
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or -- SLT operation
833
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then -- SLTU operation
834
              ctrl_nxt(ctrl_alu_addsub_c) <= '1'; -- SUB/SLT
835
            else
836
              ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
837
            end if;
838
 
839 39 zero_gravi
            -- ALU logic operation --
840
            case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.logic operation (re-coding)
841
              when funct3_xor_c => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_xor_c; -- XOR(I)
842
              when funct3_or_c  => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_or_c;  -- OR(I)
843 40 zero_gravi
              when others       => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_and_c; -- AND(I)
844 39 zero_gravi
            end case;
845
 
846
            -- cp access? --
847 40 zero_gravi
            ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- just in case a mul/div operation
848 39 zero_gravi
            if (CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1') then -- MULDIV CP op?
849
              execute_engine.is_cp_op_nxt                        <= '1'; -- this is a CP operation
850
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
851
            -- ALU operation - function select --
852
            else
853
              execute_engine.is_cp_op_nxt <= '0'; -- no CP operation
854
              case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.func operation (re-coding)
855
                when funct3_xor_c | funct3_or_c | funct3_and_c => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c;
856
                when funct3_sll_c | funct3_sr_c                => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
857
                when others                                    => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c;
858
              end case;
859
            end if;
860
 
861 11 zero_gravi
            -- multi cycle alu operation? --
862 25 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or -- SLL shift operation?
863
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) or -- SR shift operation?
864 39 zero_gravi
               ((CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1')) then -- MULDIV CP op?
865 6 zero_gravi
              execute_engine.state_nxt <= ALU_WAIT;
866 26 zero_gravi
            else -- single cycle ALU operation
867 2 zero_gravi
              ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
868 6 zero_gravi
              execute_engine.state_nxt <= DISPATCH;
869 2 zero_gravi
            end if;
870
 
871 25 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC
872 2 zero_gravi
          -- ------------------------------------------------------------
873 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only)
874
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
875 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c)   <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
876
            ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
877 25 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI
878 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
879 27 zero_gravi
            else -- AUIPC
880 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
881 2 zero_gravi
            end if;
882 39 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
883
            ctrl_nxt(ctrl_rf_wb_en_c)      <= '1'; -- valid RF write-back
884
            execute_engine.state_nxt       <= DISPATCH;
885 2 zero_gravi
 
886 39 zero_gravi
          when opcode_load_c | opcode_store_c | opcode_atomic_c => -- load/store / atomic memory access
887 2 zero_gravi
          -- ------------------------------------------------------------
888 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA
889
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
890 39 zero_gravi
            ctrl_nxt(ctrl_bus_mo_we_c)   <= '1'; -- write to MAR and MDO (MDO only relevant for store)
891
            --
892
            if (CPU_EXTENSION_RISCV_A = false) or (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') then -- atomic (A) extension disabled or normal load/store
893
              execute_engine.state_nxt <= LOADSTORE_0;
894
            else -- atomic operation
895
              atomic_ctrl.env_start <= not execute_engine.i_reg(instr_funct5_lsb_c); -- LR: start LOCKED memory access environment
896
              if (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c) or -- store-conditional
897
                 (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) then -- load-reservate
898
                execute_engine.state_nxt <= LOADSTORE_0;
899
              else -- unimplemented (atomic) instruction
900
                execute_engine.state_nxt <= SYS_WAIT;
901
              end if;
902
            end if;
903 2 zero_gravi
 
904 29 zero_gravi
          when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register)
905 2 zero_gravi
          -- ------------------------------------------------------------
906
            -- compute target address --
907 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
908
            ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
909 29 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR
910
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base)
911
            else -- JAL / branch
912
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base)
913 2 zero_gravi
            end if;
914 29 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset)
915 39 zero_gravi
            --
916 40 zero_gravi
            execute_engine.state_nxt <= BRANCH;
917 2 zero_gravi
 
918 8 zero_gravi
          when opcode_fence_c => -- fence operations
919
          -- ------------------------------------------------------------
920 39 zero_gravi
            execute_engine.state_nxt <= FENCE_OP;
921 8 zero_gravi
 
922 2 zero_gravi
          when opcode_syscsr_c => -- system/csr access
923
          -- ------------------------------------------------------------
924 40 zero_gravi
            csr.re_nxt <= csr_acc_valid; -- always read CSR if valid access, only relevant for CSR-instructions
925 39 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system/environment
926
              execute_engine.state_nxt <= SYS_ENV;
927 13 zero_gravi
            else -- CSR access
928
              execute_engine.state_nxt <= CSR_ACCESS;
929 2 zero_gravi
            end if;
930
 
931
          when others => -- undefined
932
          -- ------------------------------------------------------------
933 39 zero_gravi
            execute_engine.state_nxt <= SYS_WAIT;
934 2 zero_gravi
 
935
        end case;
936
 
937 39 zero_gravi
 
938
      when SYS_ENV => -- system environment operation - execution
939 2 zero_gravi
      -- ------------------------------------------------------------
940 40 zero_gravi
        execute_engine.pc_mux_sel <= "11"; -- csr.mepc (only relevant for MRET)
941 39 zero_gravi
        case execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) is
942
          when funct12_ecall_c => -- ECALL
943
            trap_ctrl.env_call <= '1';
944
          when funct12_ebreak_c => -- EBREAK
945
            trap_ctrl.break_point <= '1';
946
          when funct12_mret_c => -- MRET
947
            trap_ctrl.env_end    <= '1';
948 40 zero_gravi
            execute_engine.pc_we <= '1'; -- update PC from MEPC
949 39 zero_gravi
            fetch_engine.reset   <= '1';
950
            execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
951
          when funct12_wfi_c => -- WFI
952
            execute_engine.sleep_nxt <= '1'; -- good night
953
          when others => -- undefined
954
            NULL;
955
        end case;
956
        execute_engine.state_nxt <= SYS_WAIT;
957
 
958
 
959
      when CSR_ACCESS => -- read & write status and control register (CSR)
960
      -- ------------------------------------------------------------
961 27 zero_gravi
        -- CSR write access --
962 6 zero_gravi
        case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
963 25 zero_gravi
          when funct3_csrrw_c | funct3_csrrwi_c => -- CSRRW(I)
964 15 zero_gravi
            csr.we_nxt <= csr_acc_valid; -- always write CSR if valid access
965 27 zero_gravi
          when funct3_csrrs_c | funct3_csrrsi_c | funct3_csrrc_c | funct3_csrrci_c => -- CSRRS(I) / CSRRC(I)
966
            csr.we_nxt <= (not rs1_is_r0_v) and csr_acc_valid; -- write CSR if rs1/imm is not zero and if valid access
967 29 zero_gravi
          when others => -- invalid
968 27 zero_gravi
            csr.we_nxt <= '0';
969 2 zero_gravi
        end case;
970 27 zero_gravi
        -- register file write back --
971 12 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output
972 2 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
973 39 zero_gravi
        execute_engine.state_nxt  <= DISPATCH;
974 2 zero_gravi
 
975 39 zero_gravi
 
976 19 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation (shifter or CP) to finish
977 2 zero_gravi
      -- ------------------------------------------------------------
978 39 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
979
        ctrl_nxt(ctrl_rf_wb_en_c)      <= '1'; -- valid RF write-back (permanent write-back)
980 29 zero_gravi
        -- cp access or alu shift? --
981
        if (execute_engine.is_cp_op = '1') then
982 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
983 29 zero_gravi
        else
984 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
985 19 zero_gravi
        end if;
986
        -- wait for result --
987 6 zero_gravi
        if (alu_wait_i = '0') then
988 29 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
989 2 zero_gravi
        end if;
990
 
991 39 zero_gravi
 
992 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
993
      -- ------------------------------------------------------------
994 39 zero_gravi
        -- get and store return address (only relevant for jump-and-link operations) --
995
        ctrl_nxt(ctrl_alu_opb_mux_c)                         <= '1'; -- use IMM as ALU.OPB (next_pc from immediate generator = return address)
996
        ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
997
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c)   <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
998
        ctrl_nxt(ctrl_rf_in_mux_msb_c)                       <= '0'; -- RF input = ALU result
999 40 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c)                            <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- valid RF write-back? (is jump-and-link?)
1000 39 zero_gravi
        -- destination address --
1001
        execute_engine.pc_mux_sel <= "01"; -- alu.add = branch/jump destination
1002 40 zero_gravi
        if (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') or (execute_engine.branch_taken = '1') then -- JAL/JALR or taken branch
1003 39 zero_gravi
          execute_engine.pc_we      <= '1'; -- update PC
1004 20 zero_gravi
          fetch_engine.reset        <= '1'; -- trigger new instruction fetch from modified PC
1005
          execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
1006
          execute_engine.state_nxt  <= SYS_WAIT;
1007 11 zero_gravi
        else
1008
          execute_engine.state_nxt <= DISPATCH;
1009 6 zero_gravi
        end if;
1010
 
1011 39 zero_gravi
 
1012
      when FENCE_OP => -- fence operations - execution
1013
      -- ------------------------------------------------------------
1014
        execute_engine.state_nxt  <= SYS_WAIT;
1015 40 zero_gravi
        execute_engine.pc_mux_sel <= "00"; -- linear next PC = "refetch" next instruction (only relevant for fence.i)
1016 39 zero_gravi
        -- FENCE.I --
1017
        if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fencei_c(0)) and (CPU_EXTENSION_RISCV_Zifencei = true) then
1018
          execute_engine.pc_we        <= '1';
1019
          execute_engine.if_rst_nxt   <= '1'; -- this is a non-linear PC modification
1020
          fetch_engine.reset          <= '1';
1021
          ctrl_nxt(ctrl_bus_fencei_c) <= '1';
1022
        end if;
1023
        -- FENCE --
1024
        if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fence_c(0)) then
1025
          ctrl_nxt(ctrl_bus_fence_c) <= '1';
1026
        end if;
1027
 
1028
 
1029 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
1030 6 zero_gravi
      -- ------------------------------------------------------------
1031 39 zero_gravi
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (is_atomic_lr_v = '1') then -- normal load or atomic load-reservate
1032 12 zero_gravi
          ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request
1033 39 zero_gravi
        else -- store
1034 12 zero_gravi
          ctrl_nxt(ctrl_bus_wr_c) <= '1'; -- write request
1035
        end if;
1036
        execute_engine.state_nxt <= LOADSTORE_1;
1037 6 zero_gravi
 
1038 39 zero_gravi
 
1039 12 zero_gravi
      when LOADSTORE_1 => -- memory latency
1040 6 zero_gravi
      -- ------------------------------------------------------------
1041 39 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- write input data to MDI (only relevant for LOAD)
1042
        execute_engine.state_nxt   <= LOADSTORE_2;
1043 6 zero_gravi
 
1044 39 zero_gravi
 
1045 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
1046 6 zero_gravi
      -- ------------------------------------------------------------
1047 40 zero_gravi
        -- ALU control (only relevant for atomic memory operations) --
1048
        if (CPU_EXTENSION_RISCV_A = true) then
1049
          ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_atomic_c; -- atomic.SC: result comes from "atomic co-processor"
1050 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1051
        end if;
1052 40 zero_gravi
        -- register file write-back --
1053 39 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_lsb_c) <= '0'; -- RF input = ALU.res or MEM
1054
        if (is_atomic_sc_v = '1') then
1055 40 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU.res (only relevant for atomic.SC)
1056 39 zero_gravi
        else
1057 40 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '1'; -- RF input = memory input (only relevant for LOADs)
1058 39 zero_gravi
        end if;
1059
        --
1060
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for load operations)
1061
        -- wait for memory response --
1062 37 zero_gravi
        if ((ma_load_i or be_load_i or ma_store_i or be_store_i) = '1') then -- abort if exception
1063 39 zero_gravi
          atomic_ctrl.env_abort     <= '1'; -- LOCKED (atomic) memory access environment failed (forces SC result to be non-zero => failure)
1064
          ctrl_nxt(ctrl_rf_wb_en_c) <= is_atomic_sc_v; -- SC failes: allow write back of non-zero result
1065
          execute_engine.state_nxt  <= DISPATCH;
1066 26 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
1067 39 zero_gravi
          if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (is_atomic_lr_v = '1') or (is_atomic_sc_v = '1') then -- load / load-reservate / store conditional
1068
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1069 6 zero_gravi
          end if;
1070 39 zero_gravi
          atomic_ctrl.env_end      <= '1'; -- normal end of LOCKED (atomic) memory access environment
1071 6 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1072
        end if;
1073
 
1074 39 zero_gravi
 
1075 2 zero_gravi
      when others => -- undefined
1076
      -- ------------------------------------------------------------
1077 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1078 2 zero_gravi
 
1079
    end case;
1080 6 zero_gravi
  end process execute_engine_fsm_comb;
1081 2 zero_gravi
 
1082
 
1083 15 zero_gravi
-- ****************************************************************************************************************************
1084
-- Invalid Instruction / CSR access check
1085
-- ****************************************************************************************************************************
1086
 
1087
 
1088
  -- Illegal CSR Access Check ---------------------------------------------------------------
1089
  -- -------------------------------------------------------------------------------------------
1090 40 zero_gravi
  invalid_csr_access_check: process(execute_engine.i_reg, csr)
1091
    variable csr_wacc_v : std_ulogic; -- to check access to read-only CSRs
1092
--  variable csr_racc_v : std_ulogic; -- to check access to write-only CSRs
1093 15 zero_gravi
  begin
1094 30 zero_gravi
    -- is this CSR instruction really going to write/read to/from a CSR? --
1095
    if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1096
       (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then
1097
      csr_wacc_v := '1'; -- always write CSR
1098
--    csr_racc_v := or_all_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); -- read allowed if rd != 0
1099
    else
1100
      csr_wacc_v := or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c)); -- write allowed if rs1/uimm5 != 0
1101
--    csr_racc_v := '1'; -- always read CSR
1102
    end if;
1103
 
1104 15 zero_gravi
    -- check CSR access --
1105 29 zero_gravi
    case execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) is
1106 40 zero_gravi
      when csr_mstatus_c   => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1107
      when csr_mstatush_c  => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1108
      when csr_misa_c      => csr_acc_valid <= csr.priv_m_mode;-- and (not csr_wacc_v); -- M-mode only, MISA is read-only in the NEORV32 but we don't cause an exception here for compatibility
1109
      when csr_mie_c       => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1110
      when csr_mtvec_c     => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1111
      when csr_mscratch_c  => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1112
      when csr_mepc_c      => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1113
      when csr_mcause_c    => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1114
      when csr_mtval_c     => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1115
      when csr_mip_c       => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1116 15 zero_gravi
      --
1117 40 zero_gravi
      when csr_pmpcfg0_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 1)) and csr.priv_m_mode; -- M-mode only
1118
      when csr_pmpcfg1_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 5)) and csr.priv_m_mode; -- M-mode only
1119 15 zero_gravi
      --
1120 40 zero_gravi
      when csr_pmpaddr0_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 1)) and csr.priv_m_mode; -- M-mode only
1121
      when csr_pmpaddr1_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 2)) and csr.priv_m_mode; -- M-mode only
1122
      when csr_pmpaddr2_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 3)) and csr.priv_m_mode; -- M-mode only
1123
      when csr_pmpaddr3_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 4)) and csr.priv_m_mode; -- M-mode only
1124
      when csr_pmpaddr4_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 5)) and csr.priv_m_mode; -- M-mode only
1125
      when csr_pmpaddr5_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 6)) and csr.priv_m_mode; -- M-mode only
1126
      when csr_pmpaddr6_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 7)) and csr.priv_m_mode; -- M-mode only
1127
      when csr_pmpaddr7_c  => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 8)) and csr.priv_m_mode; -- M-mode only
1128 15 zero_gravi
      --
1129 40 zero_gravi
      when csr_mcycle_c    => csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(zicnt_en_c); -- M-mode only and "Zicnt" = true
1130
      when csr_minstret_c  => csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(zicnt_en_c); -- M-mode only and "Zicnt" = true
1131 15 zero_gravi
      --
1132 40 zero_gravi
      when csr_mcycleh_c   => csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(zicnt_en_c); -- M-mode only and "Zicnt" = true
1133
      when csr_minstreth_c => csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(zicnt_en_c); -- M-mode only and "Zicnt" = true
1134 15 zero_gravi
      --
1135 40 zero_gravi
      when csr_cycle_c     => csr_acc_valid <= (not csr_wacc_v) and bool_to_ulogic_f(zicnt_en_c); -- all modes, read-only and "Zicnt" = true
1136 30 zero_gravi
      when csr_time_c      => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
1137 40 zero_gravi
      when csr_instret_c   => csr_acc_valid <= (not csr_wacc_v) and bool_to_ulogic_f(zicnt_en_c); -- all modes, read-only and "Zicnt" = true
1138 15 zero_gravi
      --
1139 40 zero_gravi
      when csr_cycleh_c    => csr_acc_valid <= (not csr_wacc_v) and bool_to_ulogic_f(zicnt_en_c); -- all modes, read-only and "Zicnt" = true
1140 30 zero_gravi
      when csr_timeh_c     => csr_acc_valid <= (not csr_wacc_v); -- all modes, read-only
1141 40 zero_gravi
      when csr_instreth_c  => csr_acc_valid <= (not csr_wacc_v) and bool_to_ulogic_f(zicnt_en_c); -- all modes, read-only and "Zicnt" = true
1142 22 zero_gravi
      --
1143 40 zero_gravi
      when csr_mvendorid_c => csr_acc_valid <= csr.priv_m_mode and (not csr_wacc_v); -- M-mode only, read-only
1144
      when csr_marchid_c   => csr_acc_valid <= csr.priv_m_mode and (not csr_wacc_v); -- M-mode only, read-only
1145
      when csr_mimpid_c    => csr_acc_valid <= csr.priv_m_mode and (not csr_wacc_v); -- M-mode only, read-only
1146
      when csr_mhartid_c   => csr_acc_valid <= csr.priv_m_mode and (not csr_wacc_v); -- M-mode only, read-only
1147 29 zero_gravi
      --
1148 40 zero_gravi
      when csr_mzext_c     => csr_acc_valid <= csr.priv_m_mode and (not csr_wacc_v); -- M-mode only, read-only
1149 29 zero_gravi
      --
1150 23 zero_gravi
      when others => csr_acc_valid <= '0'; -- undefined, invalid access
1151 15 zero_gravi
    end case;
1152
  end process invalid_csr_access_check;
1153
 
1154
 
1155 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
1156
  -- -------------------------------------------------------------------------------------------
1157 26 zero_gravi
  illegal_instruction_check: process(execute_engine, csr_acc_valid)
1158 36 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
1159 2 zero_gravi
  begin
1160 11 zero_gravi
    -- illegal instructions are checked in the EXECUTE stage
1161 36 zero_gravi
    -- the execute engine should not commit any illegal instruction
1162 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
1163 2 zero_gravi
      -- defaults --
1164
      illegal_instruction <= '0';
1165
      illegal_register    <= '0';
1166
 
1167 36 zero_gravi
      -- check opcode for rv32 --
1168
      if (execute_engine.i_reg(instr_opcode_lsb_c+1 downto instr_opcode_lsb_c) = "11") then
1169
        illegal_opcode_lsbs <= '0';
1170
      else
1171
        illegal_opcode_lsbs <= '1';
1172
      end if;
1173
 
1174 2 zero_gravi
      -- check instructions --
1175 36 zero_gravi
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
1176
      case opcode_v is
1177 2 zero_gravi
 
1178
        -- OPCODE check sufficient: LUI, UIPC, JAL --
1179
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c =>
1180
          illegal_instruction <= '0';
1181 23 zero_gravi
          -- illegal E-CPU register? --
1182
          if (CPU_EXTENSION_RISCV_E = true) and (execute_engine.i_reg(instr_rd_msb_c) = '1') then
1183
            illegal_register <= '1';
1184
          end if;
1185 2 zero_gravi
 
1186
        when opcode_alui_c => -- check ALUI funct7
1187 6 zero_gravi
          if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and
1188
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000")) or -- shift logical left
1189
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and
1190
              ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1191
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000"))) then -- shift right
1192 2 zero_gravi
            illegal_instruction <= '1';
1193
          else
1194
            illegal_instruction <= '0';
1195
          end if;
1196 23 zero_gravi
          -- illegal E-CPU register? --
1197
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1198
            illegal_register <= '1';
1199
          end if;
1200 39 zero_gravi
 
1201 2 zero_gravi
        when opcode_load_c => -- check LOAD funct3
1202 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
1203
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
1204
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1205
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1206
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1207 2 zero_gravi
            illegal_instruction <= '0';
1208
          else
1209
            illegal_instruction <= '1';
1210
          end if;
1211 23 zero_gravi
          -- illegal E-CPU register? --
1212
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1213
            illegal_register <= '1';
1214
          end if;
1215 39 zero_gravi
 
1216 2 zero_gravi
        when opcode_store_c => -- check STORE funct3
1217 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1218
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1219
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1220 2 zero_gravi
            illegal_instruction <= '0';
1221
          else
1222
            illegal_instruction <= '1';
1223
          end if;
1224 23 zero_gravi
          -- illegal E-CPU register? --
1225
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1226
            illegal_register <= '1';
1227
          end if;
1228 2 zero_gravi
 
1229
        when opcode_branch_c => -- check BRANCH funct3
1230 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1231
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1232
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1233
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1234
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1235
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1236 2 zero_gravi
            illegal_instruction <= '0';
1237
          else
1238
            illegal_instruction <= '1';
1239
          end if;
1240 23 zero_gravi
          -- illegal E-CPU register? --
1241
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1242
            illegal_register <= '1';
1243
          end if;
1244 2 zero_gravi
 
1245
        when opcode_jalr_c => -- check JALR funct3
1246 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1247 2 zero_gravi
            illegal_instruction <= '0';
1248
          else
1249
            illegal_instruction <= '1';
1250
          end if;
1251 23 zero_gravi
          -- illegal E-CPU register? --
1252
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1253
            illegal_register <= '1';
1254
          end if;
1255 2 zero_gravi
 
1256
        when opcode_alu_c => -- check ALU funct3 & funct7
1257 6 zero_gravi
          if (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then -- MULDIV
1258 11 zero_gravi
            if (CPU_EXTENSION_RISCV_M = false) then -- not implemented
1259 2 zero_gravi
              illegal_instruction <= '1';
1260
            end if;
1261 6 zero_gravi
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1262
                 (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and -- ADD/SUB or SRA/SRL check
1263
                ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1264
                 (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000")) then -- ADD/SUB or SRA/SRL select
1265 2 zero_gravi
            illegal_instruction <= '1';
1266
          else
1267
            illegal_instruction <= '0';
1268
          end if;
1269 23 zero_gravi
          -- illegal E-CPU register? --
1270
          if (CPU_EXTENSION_RISCV_E = true) and
1271
             ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1272
            illegal_register <= '1';
1273
          end if;
1274 2 zero_gravi
 
1275 8 zero_gravi
        when opcode_fence_c => -- fence instructions --
1276
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCE.I
1277
            illegal_instruction <= '0';
1278
          elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1279
            illegal_instruction <= '0';
1280
          else
1281
            illegal_instruction <= '1';
1282
          end if;
1283
 
1284 2 zero_gravi
        when opcode_syscsr_c => -- check system instructions --
1285
          -- CSR access --
1286 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1287
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1288
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1289
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1290
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1291
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c) then
1292 15 zero_gravi
            -- valid CSR access? --
1293
            if (csr_acc_valid = '1') then
1294 2 zero_gravi
              illegal_instruction <= '0';
1295
            else
1296
              illegal_instruction <= '1';
1297
            end if;
1298 23 zero_gravi
            -- illegal E-CPU register? --
1299
            if (CPU_EXTENSION_RISCV_E = true) then
1300
              if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR
1301
                illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1302
              else -- reg-imm CSR
1303
                illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1304
              end if;
1305
            end if;
1306 2 zero_gravi
 
1307
          -- ecall, ebreak, mret, wfi --
1308 6 zero_gravi
          elsif (execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c)  = "00000") and
1309
                (execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c) = "00000") then
1310 13 zero_gravi
            if (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1311 11 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1312 13 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_mret_c)   or -- MRET
1313
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_wfi_c) then  -- WFI
1314 2 zero_gravi
              illegal_instruction <= '0';
1315
            else
1316
              illegal_instruction <= '1';
1317
            end if;
1318
          else
1319
            illegal_instruction <= '1';
1320
          end if;
1321
 
1322 39 zero_gravi
        when opcode_atomic_c => -- atomic instructions --
1323
          if (CPU_EXTENSION_RISCV_A = true) and -- atomic memory operations (A extension) enabled
1324
             ((execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) or -- LR
1325
              (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c)) then -- SC
1326
            illegal_instruction <= '0';
1327
          else
1328
            illegal_instruction <= '1';
1329
          end if;
1330
 
1331 36 zero_gravi
        when others => -- undefined instruction -> illegal!
1332
          illegal_instruction <= '1';
1333 2 zero_gravi
 
1334
      end case;
1335
    else
1336 36 zero_gravi
      illegal_opcode_lsbs <= '0';
1337 2 zero_gravi
      illegal_instruction <= '0';
1338
      illegal_register    <= '0';
1339
    end if;
1340
  end process illegal_instruction_check;
1341
 
1342
  -- any illegal condition? --
1343 36 zero_gravi
  trap_ctrl.instr_il <= illegal_instruction or illegal_opcode_lsbs or illegal_register or illegal_compressed;
1344 2 zero_gravi
 
1345
 
1346 6 zero_gravi
-- ****************************************************************************************************************************
1347 38 zero_gravi
-- Exception and Interrupt (= Trap) Control
1348 6 zero_gravi
-- ****************************************************************************************************************************
1349 2 zero_gravi
 
1350
 
1351 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1352 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1353 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1354 40 zero_gravi
    variable mode_m_v, mode_u_v : std_ulogic;
1355 2 zero_gravi
  begin
1356
    if (rstn_i = '0') then
1357 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1358
      trap_ctrl.irq_buf   <= (others => '0');
1359
      trap_ctrl.exc_ack   <= '0';
1360
      trap_ctrl.irq_ack   <= (others => '0');
1361 40 zero_gravi
      trap_ctrl.cause     <= trap_reset_c;
1362 6 zero_gravi
      trap_ctrl.env_start <= '0';
1363 2 zero_gravi
    elsif rising_edge(clk_i) then
1364
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1365
        -- exception buffer: misaligned load/store/instruction address
1366 40 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c)    <= (trap_ctrl.exc_buf(exception_lalign_c)    or ma_load_i)          and (not trap_ctrl.exc_ack);
1367
        trap_ctrl.exc_buf(exception_salign_c)    <= (trap_ctrl.exc_buf(exception_salign_c)    or ma_store_i)         and (not trap_ctrl.exc_ack);
1368
        trap_ctrl.exc_buf(exception_ialign_c)    <= (trap_ctrl.exc_buf(exception_ialign_c)    or trap_ctrl.instr_ma) and (not trap_ctrl.exc_ack);
1369 2 zero_gravi
        -- exception buffer: load/store/instruction bus access error
1370 40 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c)   <= (trap_ctrl.exc_buf(exception_laccess_c)   or be_load_i)          and (not trap_ctrl.exc_ack);
1371
        trap_ctrl.exc_buf(exception_saccess_c)   <= (trap_ctrl.exc_buf(exception_saccess_c)   or be_store_i)         and (not trap_ctrl.exc_ack);
1372
        trap_ctrl.exc_buf(exception_iaccess_c)   <= (trap_ctrl.exc_buf(exception_iaccess_c)   or trap_ctrl.instr_be) and (not trap_ctrl.exc_ack);
1373 2 zero_gravi
        -- exception buffer: illegal instruction / env call / break point
1374 40 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or (trap_ctrl.env_call and csr.priv_m_mode)) and (not trap_ctrl.exc_ack);
1375
        trap_ctrl.exc_buf(exception_u_envcall_c) <= (trap_ctrl.exc_buf(exception_u_envcall_c) or (trap_ctrl.env_call and csr.priv_u_mode)) and (not trap_ctrl.exc_ack);
1376
        trap_ctrl.exc_buf(exception_break_c)     <= (trap_ctrl.exc_buf(exception_break_c)     or trap_ctrl.break_point)                    and (not trap_ctrl.exc_ack);
1377
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)                       and (not trap_ctrl.exc_ack);
1378 18 zero_gravi
        -- interrupt buffer: machine software/external/timer interrupt
1379 40 zero_gravi
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and (trap_ctrl.irq_buf(interrupt_msw_irq_c)   or msw_irq_i)   and (not (trap_ctrl.irq_ack(interrupt_msw_irq_c)   or csr.mip_clear(interrupt_msw_irq_c)));
1380
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and (trap_ctrl.irq_buf(interrupt_mext_irq_c)  or mext_irq_i)  and (not (trap_ctrl.irq_ack(interrupt_mext_irq_c)  or csr.mip_clear(interrupt_mext_irq_c)));
1381
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and (trap_ctrl.irq_buf(interrupt_mtime_irq_c) or mtime_irq_i) and (not (trap_ctrl.irq_ack(interrupt_mtime_irq_c) or csr.mip_clear(interrupt_mtime_irq_c)));
1382 18 zero_gravi
        -- interrupt buffer: custom fast interrupts
1383 40 zero_gravi
        trap_ctrl.irq_buf(interrupt_firq_0_c)    <= csr.mie_firqe(0) and (trap_ctrl.irq_buf(interrupt_firq_0_c) or firq_i(0)) and (not (trap_ctrl.irq_ack(interrupt_firq_0_c) or csr.mip_clear(interrupt_firq_0_c)));
1384
        trap_ctrl.irq_buf(interrupt_firq_1_c)    <= csr.mie_firqe(1) and (trap_ctrl.irq_buf(interrupt_firq_1_c) or firq_i(1)) and (not (trap_ctrl.irq_ack(interrupt_firq_1_c) or csr.mip_clear(interrupt_firq_1_c)));
1385
        trap_ctrl.irq_buf(interrupt_firq_2_c)    <= csr.mie_firqe(2) and (trap_ctrl.irq_buf(interrupt_firq_2_c) or firq_i(2)) and (not (trap_ctrl.irq_ack(interrupt_firq_2_c) or csr.mip_clear(interrupt_firq_2_c)));
1386
        trap_ctrl.irq_buf(interrupt_firq_3_c)    <= csr.mie_firqe(3) and (trap_ctrl.irq_buf(interrupt_firq_3_c) or firq_i(3)) and (not (trap_ctrl.irq_ack(interrupt_firq_3_c) or csr.mip_clear(interrupt_firq_3_c)));
1387 6 zero_gravi
        -- trap control --
1388
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1389 11 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- exception/IRQ detected!
1390 39 zero_gravi
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP))) then -- sample IRQs in EXECUTE or TRAP state only to continue execution even if permanent IRQ
1391 13 zero_gravi
            trap_ctrl.cause     <= trap_ctrl.cause_nxt;   -- capture source ID for program (for mcause csr)
1392 7 zero_gravi
            trap_ctrl.exc_ack   <= '1';                   -- clear execption
1393
            trap_ctrl.irq_ack   <= trap_ctrl.irq_ack_nxt; -- capture and clear with interrupt ACK mask
1394 13 zero_gravi
            trap_ctrl.env_start <= '1';                   -- now execute engine can start trap handler
1395 2 zero_gravi
          end if;
1396 6 zero_gravi
        else -- trap waiting to get started
1397
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1398
            trap_ctrl.exc_ack   <= '0';
1399
            trap_ctrl.irq_ack   <= (others => '0');
1400
            trap_ctrl.env_start <= '0';
1401 2 zero_gravi
          end if;
1402
        end if;
1403
      end if;
1404
    end if;
1405 6 zero_gravi
  end process trap_controller;
1406 2 zero_gravi
 
1407
  -- any exception/interrupt? --
1408 27 zero_gravi
  trap_ctrl.exc_fire <= or_all_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked
1409
  trap_ctrl.irq_fire <= or_all_f(trap_ctrl.irq_buf) and csr.mstatus_mie; -- interrupts CAN be masked
1410 2 zero_gravi
 
1411 40 zero_gravi
  -- current pending interrupts (for CSR.MIP register) --
1412
  csr.mip_status <= trap_ctrl.irq_buf;
1413 2 zero_gravi
 
1414 40 zero_gravi
 
1415 6 zero_gravi
  -- Trap Priority Detector -----------------------------------------------------------------
1416
  -- -------------------------------------------------------------------------------------------
1417
  trap_priority: process(trap_ctrl)
1418 2 zero_gravi
  begin
1419
    -- defaults --
1420 6 zero_gravi
    trap_ctrl.cause_nxt   <= (others => '0');
1421
    trap_ctrl.irq_ack_nxt <= (others => '0');
1422 2 zero_gravi
 
1423 38 zero_gravi
    -- the following traps are caused by *asynchronous* exceptions (= interrupts)
1424 12 zero_gravi
    -- here we do need a specific acknowledge mask since several sources can trigger at once
1425 9 zero_gravi
 
1426 2 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1427 6 zero_gravi
    if (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1428 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mei_c;
1429 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mext_irq_c) <= '1';
1430 2 zero_gravi
 
1431 40 zero_gravi
    -- interrupt: 1.3 machine SW interrupt --
1432
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1433
      trap_ctrl.cause_nxt <= trap_msi_c;
1434
      trap_ctrl.irq_ack_nxt(interrupt_msw_irq_c) <= '1';
1435
 
1436 2 zero_gravi
    -- interrupt: 1.7 machine timer interrupt --
1437 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then
1438 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mti_c;
1439 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mtime_irq_c) <= '1';
1440 2 zero_gravi
 
1441
 
1442 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1443
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1444
      trap_ctrl.cause_nxt <= trap_firq0_c;
1445
      trap_ctrl.irq_ack_nxt(interrupt_firq_0_c) <= '1';
1446
 
1447
    -- interrupt: 1.17 fast interrupt channel 1 --
1448
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1449
      trap_ctrl.cause_nxt <= trap_firq1_c;
1450
      trap_ctrl.irq_ack_nxt(interrupt_firq_1_c) <= '1';
1451
 
1452
    -- interrupt: 1.18 fast interrupt channel 2 --
1453
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1454
      trap_ctrl.cause_nxt <= trap_firq2_c;
1455
      trap_ctrl.irq_ack_nxt(interrupt_firq_2_c) <= '1';
1456
 
1457
    -- interrupt: 1.19 fast interrupt channel 3 --
1458
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1459
      trap_ctrl.cause_nxt <= trap_firq3_c;
1460
      trap_ctrl.irq_ack_nxt(interrupt_firq_3_c) <= '1';
1461
 
1462
 
1463 38 zero_gravi
    -- the following traps are caused by *synchronous* exceptions (= classic exceptions)
1464 12 zero_gravi
    -- here we do not need a specific acknowledge mask since only one exception (the one
1465 38 zero_gravi
    -- with highest priority) is evaluated at once
1466 4 zero_gravi
 
1467 38 zero_gravi
    -- exception: 0.1 instruction access fault --
1468 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1469 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iba_c;
1470 2 zero_gravi
 
1471 38 zero_gravi
    -- exception: 0.2 illegal instruction --
1472 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1473 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iil_c;
1474 2 zero_gravi
 
1475 38 zero_gravi
    -- exception: 0.0 instruction address misaligned --
1476 12 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1477
      trap_ctrl.cause_nxt <= trap_ima_c;
1478 2 zero_gravi
 
1479 12 zero_gravi
 
1480 38 zero_gravi
    -- exception: 0.11 environment call from M-mode --
1481 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1482 14 zero_gravi
      trap_ctrl.cause_nxt <= trap_menv_c;
1483 2 zero_gravi
 
1484 40 zero_gravi
    -- exception: 0.8 environment call from U-mode --
1485
    elsif (trap_ctrl.exc_buf(exception_u_envcall_c) = '1') then
1486
      trap_ctrl.cause_nxt <= trap_uenv_c;
1487
 
1488 38 zero_gravi
    -- exception: 0.3 breakpoint --
1489 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1490 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_brk_c;
1491 2 zero_gravi
 
1492
 
1493 38 zero_gravi
    -- exception: 0.6 store address misaligned -
1494 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1495 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sma_c;
1496 2 zero_gravi
 
1497 38 zero_gravi
    -- exception: 0.4 load address misaligned --
1498 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1499 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lma_c;
1500 2 zero_gravi
 
1501 38 zero_gravi
    -- exception: 0.7 store access fault --
1502 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1503 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sbe_c;
1504 2 zero_gravi
 
1505 38 zero_gravi
    -- exception: 0.5 load access fault --
1506 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1507 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lbe_c;
1508 2 zero_gravi
 
1509
    -- undefined / not implemented --
1510
    else
1511 6 zero_gravi
      trap_ctrl.cause_nxt   <= (others => '0');
1512
      trap_ctrl.irq_ack_nxt <= (others => '0');
1513 2 zero_gravi
    end if;
1514 6 zero_gravi
  end process trap_priority;
1515 39 zero_gravi
 
1516
 
1517
  -- Atomic Operation Controller ------------------------------------------------------------
1518
  -- -------------------------------------------------------------------------------------------
1519
  atomics_controller: process(rstn_i, clk_i)
1520
  begin
1521
    if (rstn_i = '0') then
1522
      atomic_ctrl.lock       <= '0';
1523
      atomic_ctrl.env_end_ff <= '0';
1524
    elsif rising_edge(clk_i) then
1525
      if (CPU_EXTENSION_RISCV_A = true) then
1526
        if (atomic_ctrl.env_end_ff = '1') or -- normal termination
1527 40 zero_gravi
           (atomic_ctrl.env_abort = '1') or  -- fast termination (error)
1528
           (trap_ctrl.env_start = '1') then  -- triggered trap -> failure
1529 39 zero_gravi
          atomic_ctrl.lock <= '0';
1530
        elsif (atomic_ctrl.env_start = '1') then
1531
          atomic_ctrl.lock <= '1';
1532
        end if;
1533
        atomic_ctrl.env_end_ff <= atomic_ctrl.env_end;
1534
      else
1535
        atomic_ctrl.lock       <= '0';
1536
        atomic_ctrl.env_end_ff <= '0';
1537
      end if;
1538
    end if;
1539
  end process atomics_controller;
1540 6 zero_gravi
 
1541 2 zero_gravi
 
1542 6 zero_gravi
-- ****************************************************************************************************************************
1543
-- Control and Status Registers (CSRs)
1544
-- ****************************************************************************************************************************
1545 2 zero_gravi
 
1546 27 zero_gravi
  -- Control and Status Registers Write Data ------------------------------------------------
1547
  -- -------------------------------------------------------------------------------------------
1548 36 zero_gravi
  csr_write_data: process(execute_engine.i_reg, csr.rdata, rs1_i)
1549
    variable csr_operand_v : std_ulogic_vector(data_width_c-1 downto 0);
1550 27 zero_gravi
  begin
1551 36 zero_gravi
    -- CSR operand source --
1552
    if (execute_engine.i_reg(instr_funct3_msb_c) = '1') then -- immediate
1553
      csr_operand_v := (others => '0');
1554 38 zero_gravi
      csr_operand_v(4 downto 0) := execute_engine.i_reg(19 downto 15); -- uimm5
1555 36 zero_gravi
    else -- register
1556
      csr_operand_v := rs1_i;
1557
    end if;
1558 40 zero_gravi
    -- tiny ALU for CSR write operations --
1559 27 zero_gravi
    case execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c) is
1560 36 zero_gravi
      when "10"   => csr.wdata <= csr.rdata or csr_operand_v; -- CSRRS(I)
1561
      when "11"   => csr.wdata <= csr.rdata and (not csr_operand_v); -- CSRRC(I)
1562
      when others => csr.wdata <= csr_operand_v; -- CSRRW(I)
1563 27 zero_gravi
    end case;
1564
  end process csr_write_data;
1565
 
1566
 
1567 2 zero_gravi
  -- Control and Status Registers Write Access ----------------------------------------------
1568
  -- -------------------------------------------------------------------------------------------
1569
  csr_write_access: process(rstn_i, clk_i)
1570
  begin
1571
    if (rstn_i = '0') then
1572 40 zero_gravi
      csr.we           <= '0';
1573 11 zero_gravi
      --
1574 6 zero_gravi
      csr.mstatus_mie  <= '0';
1575
      csr.mstatus_mpie <= '0';
1576 29 zero_gravi
      csr.mstatus_mpp  <= priv_mode_m_c; -- start in MACHINE mode
1577
      csr.privilege    <= priv_mode_m_c; -- start in MACHINE mode
1578 6 zero_gravi
      csr.mie_msie     <= '0';
1579
      csr.mie_meie     <= '0';
1580
      csr.mie_mtie     <= '0';
1581 14 zero_gravi
      csr.mie_firqe    <= (others => '0');
1582 6 zero_gravi
      csr.mtvec        <= (others => '0');
1583 36 zero_gravi
      csr.mscratch     <= x"19880704"; -- :)
1584 12 zero_gravi
      csr.mepc         <= (others => '0');
1585 40 zero_gravi
      -- mcause = TRAP_CODE_RESET (hardware reset, 0x80000000)
1586
      csr.mcause                               <= (others => '0');
1587
      csr.mcause(csr.mcause'left)              <= trap_reset_c(trap_reset_c'left);
1588
      csr.mcause(trap_reset_c'left-1 downto 0) <= trap_reset_c(trap_reset_c'left-1 downto 0);
1589
      --
1590 6 zero_gravi
      csr.mtval        <= (others => '0');
1591 40 zero_gravi
      csr.mip_clear    <= (others => '0');
1592 15 zero_gravi
      csr.pmpcfg       <= (others => (others => '0'));
1593 40 zero_gravi
      csr.pmpaddr      <= (others => (others => '1'));
1594 34 zero_gravi
      --
1595
      csr.mcycle       <= (others => '0');
1596
      csr.minstret     <= (others => '0');
1597
      csr.mcycleh      <= (others => '0');
1598
      csr.minstreth    <= (others => '0');
1599
      mcycle_msb       <= '0';
1600
      minstret_msb     <= '0';
1601 2 zero_gravi
    elsif rising_edge(clk_i) then
1602 29 zero_gravi
      -- write access? --
1603
      csr.we <= csr.we_nxt;
1604 36 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1605 4 zero_gravi
 
1606 40 zero_gravi
        -- defaults --
1607
        csr.mip_clear <= (others => '0');
1608
 
1609 36 zero_gravi
        -- --------------------------------------------------------------------------------
1610
        -- CSR access by application software
1611
        -- --------------------------------------------------------------------------------
1612
        if (csr.we = '1') then -- manual update
1613
          case execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) is
1614
 
1615
            -- machine trap setup --
1616
            -- --------------------------------------------------------------------
1617
            when csr_mstatus_c => -- R/W: mstatus - machine status register
1618
              csr.mstatus_mie  <= csr.wdata(03);
1619
              csr.mstatus_mpie <= csr.wdata(07);
1620
              if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
1621
                csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12);
1622
                csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12);
1623 40 zero_gravi
              else -- only machine mode is available
1624
                csr.mstatus_mpp <= priv_mode_m_c;
1625 36 zero_gravi
              end if;
1626
            when csr_mie_c => -- R/W: mie - machine interrupt-enable register
1627 29 zero_gravi
              csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable
1628
              csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable
1629
              csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable
1630
              --
1631
              csr.mie_firqe(0) <= csr.wdata(16); -- fast interrupt channel 0
1632
              csr.mie_firqe(1) <= csr.wdata(17); -- fast interrupt channel 1
1633
              csr.mie_firqe(2) <= csr.wdata(18); -- fast interrupt channel 2
1634
              csr.mie_firqe(3) <= csr.wdata(19); -- fast interrupt channel 3
1635 36 zero_gravi
            when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1636 29 zero_gravi
              csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1637
 
1638 36 zero_gravi
            -- machine trap handling --
1639
            -- --------------------------------------------------------------------
1640
            when csr_mscratch_c =>  -- R/W: mscratch - machine scratch register
1641
              csr.mscratch <= csr.wdata;
1642
            when csr_mepc_c => -- R/W: mepc - machine exception program counter
1643
              csr.mepc <= csr.wdata(data_width_c-1 downto 1) & '0';
1644
            when csr_mcause_c => -- R/W: mcause - machine trap cause
1645
              csr.mcause <= (others => '0');
1646
              csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: interrupt, 0: exception
1647
              csr.mcause(4 downto 0)      <= csr.wdata(4 downto 0); -- identifier
1648 40 zero_gravi
            when csr_mtval_c => -- R/W: mtval - machine bad address/instruction
1649 36 zero_gravi
              csr.mtval <= csr.wdata;
1650 40 zero_gravi
            when csr_mip_c => -- R/W: mip - machine interrupt pending
1651
              csr.mip_clear(interrupt_msw_irq_c)   <= not csr.wdata(03);
1652
              csr.mip_clear(interrupt_mtime_irq_c) <= not csr.wdata(07);
1653
              csr.mip_clear(interrupt_mext_irq_c)  <= not csr.wdata(11);
1654
              --
1655
              csr.mip_clear(interrupt_firq_0_c)    <= not csr.wdata(16);
1656
              csr.mip_clear(interrupt_firq_1_c)    <= not csr.wdata(17);
1657
              csr.mip_clear(interrupt_firq_2_c)    <= not csr.wdata(18);
1658
              csr.mip_clear(interrupt_firq_3_c)    <= not csr.wdata(19);
1659 29 zero_gravi
 
1660 36 zero_gravi
            -- physical memory protection - configuration --
1661
            -- --------------------------------------------------------------------
1662
            when csr_pmpcfg0_c => -- R/W: pmpcfg0 - PMP configuration register 0
1663 40 zero_gravi
              if (PMP_USE = true) and (pmp_num_regions_c >= 1) then
1664 36 zero_gravi
                for j in 0 to 3 loop -- bytes in pmpcfg CSR
1665 40 zero_gravi
                  if ((j+1) <= pmp_num_regions_c) then
1666 36 zero_gravi
                    if (csr.pmpcfg(0+j)(7) = '0') then -- unlocked pmpcfg access
1667
                      csr.pmpcfg(0+j)(0) <= csr.wdata(j*8+0); -- R (rights.read)
1668
                      csr.pmpcfg(0+j)(1) <= csr.wdata(j*8+1); -- W (rights.write)
1669
                      csr.pmpcfg(0+j)(2) <= csr.wdata(j*8+2); -- X (rights.execute)
1670
                      csr.pmpcfg(0+j)(3) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_L
1671
                      csr.pmpcfg(0+j)(4) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_H - NAPOT/OFF only
1672
                      csr.pmpcfg(0+j)(5) <= '0'; -- reserved
1673
                      csr.pmpcfg(0+j)(6) <= '0'; -- reserved
1674
                      csr.pmpcfg(0+j)(7) <= csr.wdata(j*8+7); -- L (locked / rights also enforced in m-mode)
1675 29 zero_gravi
                    end if;
1676 36 zero_gravi
                  end if;
1677
                end loop; -- j (bytes in CSR)
1678 29 zero_gravi
              end if;
1679 36 zero_gravi
            when csr_pmpcfg1_c => -- R/W: pmpcfg1 - PMP configuration register 1
1680 40 zero_gravi
              if (PMP_USE = true) and (pmp_num_regions_c >= 5) then
1681 36 zero_gravi
                for j in 0 to 3 loop -- bytes in pmpcfg CSR
1682 40 zero_gravi
                  if ((j+1+4) <= pmp_num_regions_c) then
1683 36 zero_gravi
                    if (csr.pmpcfg(4+j)(7) = '0') then -- unlocked pmpcfg access
1684
                      csr.pmpcfg(4+j)(0) <= csr.wdata(j*8+0); -- R (rights.read)
1685
                      csr.pmpcfg(4+j)(1) <= csr.wdata(j*8+1); -- W (rights.write)
1686
                      csr.pmpcfg(4+j)(2) <= csr.wdata(j*8+2); -- X (rights.execute)
1687
                      csr.pmpcfg(4+j)(3) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_L
1688
                      csr.pmpcfg(4+j)(4) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_H - NAPOT/OFF only
1689
                      csr.pmpcfg(4+j)(5) <= '0'; -- reserved
1690
                      csr.pmpcfg(4+j)(6) <= '0'; -- reserved
1691
                      csr.pmpcfg(4+j)(7) <= csr.wdata(j*8+7); -- L (locked / rights also enforced in m-mode)
1692 29 zero_gravi
                    end if;
1693 36 zero_gravi
                  end if;
1694
                end loop; -- j (bytes in CSR)
1695 15 zero_gravi
              end if;
1696 4 zero_gravi
 
1697 36 zero_gravi
            -- physical memory protection - addresses --
1698
            -- --------------------------------------------------------------------
1699
            when csr_pmpaddr0_c | csr_pmpaddr1_c | csr_pmpaddr2_c | csr_pmpaddr3_c |
1700
                 csr_pmpaddr4_c | csr_pmpaddr5_c | csr_pmpaddr6_c | csr_pmpaddr7_c => -- R/W: pmpaddr0..7 - PMP address register 0..7
1701
              if (PMP_USE = true) then
1702 40 zero_gravi
                for i in 0 to pmp_num_regions_c-1 loop
1703 36 zero_gravi
                  if (execute_engine.i_reg(23 downto 20) = std_ulogic_vector(to_unsigned(i, 4))) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
1704 40 zero_gravi
                    csr.pmpaddr(i) <= csr.wdata;
1705
                    csr.pmpaddr(i)(index_size_f(pmp_min_granularity_c)-4 downto 0) <= (others => '1');
1706 36 zero_gravi
                  end if;
1707
                end loop; -- i (CSRs)
1708
              end if;
1709 2 zero_gravi
 
1710 36 zero_gravi
            -- undefined --
1711
            -- --------------------------------------------------------------------
1712
            when others =>
1713
              NULL;
1714 29 zero_gravi
 
1715 36 zero_gravi
          end case;
1716 29 zero_gravi
 
1717 36 zero_gravi
        -- --------------------------------------------------------------------------------
1718
        -- CSR access by hardware
1719
        -- --------------------------------------------------------------------------------
1720
        else
1721
 
1722 40 zero_gravi
          -- mcause, mepc, mtval: machine trap cause, PC and value register --
1723 36 zero_gravi
          -- --------------------------------------------------------------------
1724
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
1725 40 zero_gravi
            -- trap cause ID code --
1726
            csr.mcause <= (others => '0');
1727
            csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception
1728
            csr.mcause(4 downto 0)      <= trap_ctrl.cause(4 downto 0); -- identifier
1729
            -- trap PC --
1730 36 zero_gravi
            if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS
1731
              csr.mepc  <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
1732 40 zero_gravi
            else -- for EXCEPTIONS
1733 36 zero_gravi
              csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
1734 40 zero_gravi
            end if;
1735
            -- trap value --
1736
            case trap_ctrl.cause is
1737
              when trap_ima_c | trap_iba_c => -- misaligned instruction address OR instruction access error
1738 36 zero_gravi
                csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
1739 40 zero_gravi
              when trap_brk_c => -- breakpoint
1740
                csr.mtval <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- address of breakpoint instruction
1741
              when trap_lma_c | trap_lbe_c | trap_sma_c | trap_sbe_c => -- misaligned load/store address OR load/store access error
1742
                csr.mtval <= mar_i; -- faulting data access address
1743
              when trap_iil_c => -- illegal instruction
1744 36 zero_gravi
                csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself
1745 40 zero_gravi
              when others => -- everything else including interrupts
1746
                csr.mtval <= (others => '0');
1747
            end case;
1748 2 zero_gravi
          end if;
1749
 
1750 36 zero_gravi
          -- mstatus: context switch --
1751
          -- --------------------------------------------------------------------
1752
          if (trap_ctrl.env_start_ack = '1') then -- ENTER: trap handler starting?
1753
            csr.mstatus_mie  <= '0'; -- disable interrupts
1754
            csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
1755
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1756
              csr.privilege   <= priv_mode_m_c; -- execute trap in machine mode
1757
              csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode
1758 2 zero_gravi
            end if;
1759 36 zero_gravi
          elsif (trap_ctrl.env_end = '1') then -- EXIT: return from exception
1760
            csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
1761
            csr.mstatus_mpie <= '1';
1762
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1763
              csr.privilege   <= csr.mstatus_mpp; -- go back to previous privilege mode
1764 40 zero_gravi
              csr.mstatus_mpp <= priv_mode_m_c;
1765 30 zero_gravi
            end if;
1766 2 zero_gravi
          end if;
1767 36 zero_gravi
          -- user mode NOT implemented --
1768
          if (CPU_EXTENSION_RISCV_U = false) then
1769
            csr.privilege   <= priv_mode_m_c;
1770
            csr.mstatus_mpp <= priv_mode_m_c;
1771 15 zero_gravi
          end if;
1772 29 zero_gravi
 
1773 36 zero_gravi
        end if; -- hardware csr access
1774 29 zero_gravi
 
1775 34 zero_gravi
      -- --------------------------------------------------------------------------------
1776 40 zero_gravi
      -- Counter CSRs (each counter is split in 2 32-bit counters)
1777 34 zero_gravi
      -- --------------------------------------------------------------------------------
1778 40 zero_gravi
        if (zicnt_en_c = true) then -- implement standard RISC-V performance counters?
1779
          -- [m]cycle --
1780
          if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_mcycle_c) then -- write access
1781
            csr.mcycle <= '0' & csr.wdata;
1782
            mcycle_msb <= '0';
1783
          elsif (execute_engine.sleep = '0') then -- automatic update (if CPU is not in sleep mode)
1784
            csr.mcycle <= std_ulogic_vector(unsigned(csr.mcycle) + 1);
1785
            mcycle_msb <= csr.mcycle(csr.mcycle'left);
1786
          end if;
1787 34 zero_gravi
 
1788 40 zero_gravi
          -- [m]cycleh --
1789
          if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_mcycleh_c) then -- write access
1790
            csr.mcycleh <= csr.wdata(csr.mcycleh'left downto 0);
1791
          elsif ((mcycle_msb xor csr.mcycle(csr.mcycle'left)) = '1') then -- automatic update
1792
            csr.mcycleh <= std_ulogic_vector(unsigned(csr.mcycleh) + 1);
1793
          end if;
1794 34 zero_gravi
 
1795 40 zero_gravi
          -- [m]instret --
1796
          if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_minstret_c) then -- write access
1797
            csr.minstret <= '0' & csr.wdata;
1798
            minstret_msb <= '0';
1799
          elsif (execute_engine.state = EXECUTE) then -- automatic update (if CPU actually executes an instruction)
1800
            csr.minstret <= std_ulogic_vector(unsigned(csr.minstret) + 1);
1801
            minstret_msb <= csr.minstret(csr.minstret'left);
1802
          end if;
1803 34 zero_gravi
 
1804 40 zero_gravi
          -- [m]instreth --
1805
          if (csr.we = '1') and (execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) = csr_minstreth_c) then -- write access
1806
            csr.minstreth <= csr.wdata(csr.minstreth'left downto 0);
1807
          elsif ((minstret_msb xor csr.minstret(csr.minstret'left)) = '1') then -- automatic update
1808
            csr.minstreth <= std_ulogic_vector(unsigned(csr.minstreth) + 1);
1809
          end if;
1810
        else -- performance counters NOT implemented (not RISC-V-compliant!)
1811
          csr.mcycle    <= (others => '0');
1812
          csr.minstret  <= (others => '0');
1813
          csr.mcycleh   <= (others => '0');
1814
          csr.minstreth <= (others => '0');
1815
          mcycle_msb    <= '0';
1816
          minstret_msb  <= '0';
1817 34 zero_gravi
        end if;
1818
 
1819
      end if;
1820 2 zero_gravi
    end if;
1821
  end process csr_write_access;
1822
 
1823 40 zero_gravi
  -- decode privilege mode --
1824
  csr.priv_m_mode <= '1' when (csr.privilege = priv_mode_m_c)  or (CPU_EXTENSION_RISCV_U = false) else '0';
1825
  csr.priv_u_mode <= '1' when (csr.privilege = priv_mode_u_c) and (CPU_EXTENSION_RISCV_U = true)  else '0';
1826
 
1827 36 zero_gravi
  -- PMP configuration output to bus unit --
1828 34 zero_gravi
  pmp_output: process(csr)
1829
  begin
1830
    pmp_addr_o <= (others => (others => '0'));
1831
    pmp_ctrl_o <= (others => (others => '0'));
1832
    if (PMP_USE = true) then
1833 40 zero_gravi
      for i in 0 to pmp_num_regions_c-1 loop
1834
        pmp_addr_o(i) <= csr.pmpaddr(i) & "11";
1835
        pmp_addr_o(i)(index_size_f(pmp_min_granularity_c)-4 downto 0) <= (others => '1');
1836 34 zero_gravi
        pmp_ctrl_o(i) <= csr.pmpcfg(i);
1837
      end loop; -- i
1838
    end if;
1839
  end process pmp_output;
1840
 
1841
 
1842 2 zero_gravi
  -- Control and Status Registers Read Access -----------------------------------------------
1843
  -- -------------------------------------------------------------------------------------------
1844
  csr_read_access: process(clk_i)
1845
  begin
1846
    if rising_edge(clk_i) then
1847 29 zero_gravi
      csr.re    <= csr.re_nxt; -- read access?
1848 35 zero_gravi
      csr.rdata <= (others => '0'); -- default output
1849 11 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.re = '1') then
1850 29 zero_gravi
        case execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c) is
1851 11 zero_gravi
 
1852
          -- machine trap setup --
1853 29 zero_gravi
          when csr_mstatus_c => -- R/W: mstatus - machine status register
1854 27 zero_gravi
            csr.rdata(03) <= csr.mstatus_mie;  -- MIE
1855
            csr.rdata(07) <= csr.mstatus_mpie; -- MPIE
1856 29 zero_gravi
            csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low
1857
            csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high
1858 40 zero_gravi
          when csr_mstatush_c => -- R/-: mstatush - machine status register - high part
1859
            csr.rdata(05) <= '1'; -- MBE: CPU/Processor is BIG-ENDIAN
1860 29 zero_gravi
          when csr_misa_c => -- R/-: misa - ISA and extensions
1861 39 zero_gravi
            csr.rdata(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A);     -- A CPU extension
1862 27 zero_gravi
            csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
1863
            csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
1864
            csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
1865
            csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
1866
            csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
1867
            csr.rdata(23) <= '1';                                         -- X CPU extension (non-std extensions)
1868
            csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo)
1869
            csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi)
1870 29 zero_gravi
          when csr_mie_c => -- R/W: mie - machine interrupt-enable register
1871 27 zero_gravi
            csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable
1872
            csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable
1873
            csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable
1874 14 zero_gravi
            --
1875 27 zero_gravi
            csr.rdata(16) <= csr.mie_firqe(0); -- fast interrupt channel 0
1876
            csr.rdata(17) <= csr.mie_firqe(1); -- fast interrupt channel 1
1877
            csr.rdata(18) <= csr.mie_firqe(2); -- fast interrupt channel 2
1878
            csr.rdata(19) <= csr.mie_firqe(3); -- fast interrupt channel 3
1879 29 zero_gravi
          when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1880 27 zero_gravi
            csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1881 11 zero_gravi
 
1882
          -- machine trap handling --
1883 29 zero_gravi
          when csr_mscratch_c => -- R/W: mscratch - machine scratch register
1884 27 zero_gravi
            csr.rdata <= csr.mscratch;
1885 29 zero_gravi
          when csr_mepc_c => -- R/W: mepc - machine exception program counter
1886 27 zero_gravi
            csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0';
1887 35 zero_gravi
          when csr_mcause_c => -- R/W: mcause - machine trap cause
1888 27 zero_gravi
            csr.rdata <= csr.mcause;
1889 29 zero_gravi
          when csr_mtval_c => -- R/W: mtval - machine bad address or instruction
1890 27 zero_gravi
            csr.rdata <= csr.mtval;
1891 29 zero_gravi
          when csr_mip_c => -- R/W: mip - machine interrupt pending
1892 40 zero_gravi
            csr.rdata(03) <= csr.mip_status(interrupt_msw_irq_c);
1893
            csr.rdata(07) <= csr.mip_status(interrupt_mtime_irq_c);
1894
            csr.rdata(11) <= csr.mip_status(interrupt_mext_irq_c);
1895 14 zero_gravi
            --
1896 40 zero_gravi
            csr.rdata(16) <= csr.mip_status(interrupt_firq_0_c);
1897
            csr.rdata(17) <= csr.mip_status(interrupt_firq_1_c);
1898
            csr.rdata(18) <= csr.mip_status(interrupt_firq_2_c);
1899
            csr.rdata(19) <= csr.mip_status(interrupt_firq_3_c);
1900 11 zero_gravi
 
1901 37 zero_gravi
          -- physical memory protection - configuration --
1902 29 zero_gravi
          when csr_pmpcfg0_c => -- R/W: pmpcfg0 - physical memory protection configuration register 0
1903 15 zero_gravi
            if (PMP_USE = true) then
1904 40 zero_gravi
              if (pmp_num_regions_c >= 1) then
1905 27 zero_gravi
                csr.rdata(07 downto 00) <= csr.pmpcfg(0);
1906 15 zero_gravi
              end if;
1907 40 zero_gravi
              if (pmp_num_regions_c >= 2) then
1908 27 zero_gravi
                csr.rdata(15 downto 08) <= csr.pmpcfg(1);
1909 15 zero_gravi
              end if;
1910 40 zero_gravi
              if (pmp_num_regions_c >= 3) then
1911 27 zero_gravi
                csr.rdata(23 downto 16) <= csr.pmpcfg(2);
1912 15 zero_gravi
              end if;
1913 40 zero_gravi
              if (pmp_num_regions_c >= 4) then
1914 27 zero_gravi
                csr.rdata(31 downto 24) <= csr.pmpcfg(3);
1915 15 zero_gravi
              end if;
1916
            end if;
1917 29 zero_gravi
          when csr_pmpcfg1_c => -- R/W: pmpcfg1 - physical memory protection configuration register 1
1918 15 zero_gravi
            if (PMP_USE = true) then
1919 40 zero_gravi
              if (pmp_num_regions_c >= 5) then
1920 27 zero_gravi
                csr.rdata(07 downto 00) <= csr.pmpcfg(4);
1921 15 zero_gravi
              end if;
1922 40 zero_gravi
              if (pmp_num_regions_c >= 6) then
1923 27 zero_gravi
                csr.rdata(15 downto 08) <= csr.pmpcfg(5);
1924 15 zero_gravi
              end if;
1925 40 zero_gravi
              if (pmp_num_regions_c >= 7) then
1926 27 zero_gravi
                csr.rdata(23 downto 16) <= csr.pmpcfg(6);
1927 15 zero_gravi
              end if;
1928 40 zero_gravi
              if (pmp_num_regions_c >= 8) then
1929 27 zero_gravi
                csr.rdata(31 downto 24) <= csr.pmpcfg(7);
1930 15 zero_gravi
              end if;
1931
            end if;
1932
 
1933 37 zero_gravi
          -- physical memory protection - addresses --
1934 29 zero_gravi
          when csr_pmpaddr0_c => -- R/W: pmpaddr0 - physical memory protection address register 0
1935 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 1) then
1936 27 zero_gravi
              csr.rdata <= csr.pmpaddr(0);
1937 15 zero_gravi
              if (csr.pmpcfg(0)(4 downto 3) = "00") then -- mode = off
1938 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1939 15 zero_gravi
              end if;
1940
            end if;
1941 29 zero_gravi
          when csr_pmpaddr1_c => -- R/W: pmpaddr1 - physical memory protection address register 1
1942 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 2) then
1943 27 zero_gravi
              csr.rdata <= csr.pmpaddr(1);
1944 15 zero_gravi
              if (csr.pmpcfg(1)(4 downto 3) = "00") then -- mode = off
1945 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1946 15 zero_gravi
              end if;
1947
            end if;
1948 29 zero_gravi
          when csr_pmpaddr2_c => -- R/W: pmpaddr2 - physical memory protection address register 2
1949 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 3) then
1950 27 zero_gravi
              csr.rdata <= csr.pmpaddr(2);
1951 15 zero_gravi
              if (csr.pmpcfg(2)(4 downto 3) = "00") then -- mode = off
1952 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1953 15 zero_gravi
              end if;
1954
            end if;
1955 29 zero_gravi
          when csr_pmpaddr3_c => -- R/W: pmpaddr3 - physical memory protection address register 3
1956 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 4) then
1957 27 zero_gravi
              csr.rdata <= csr.pmpaddr(3);
1958 15 zero_gravi
              if (csr.pmpcfg(3)(4 downto 3) = "00") then -- mode = off
1959 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1960 15 zero_gravi
              end if;
1961
            end if;
1962 29 zero_gravi
          when csr_pmpaddr4_c => -- R/W: pmpaddr4 - physical memory protection address register 4
1963 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 5) then
1964 27 zero_gravi
              csr.rdata <= csr.pmpaddr(4);
1965 15 zero_gravi
              if (csr.pmpcfg(4)(4 downto 3) = "00") then -- mode = off
1966 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1967 15 zero_gravi
              end if;
1968
            end if;
1969 29 zero_gravi
          when csr_pmpaddr5_c => -- R/W: pmpaddr5 - physical memory protection address register 5
1970 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 6) then
1971 27 zero_gravi
              csr.rdata <= csr.pmpaddr(5);
1972 15 zero_gravi
              if (csr.pmpcfg(5)(4 downto 3) = "00") then -- mode = off
1973 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1974 15 zero_gravi
              end if;
1975
            end if;
1976 29 zero_gravi
          when csr_pmpaddr6_c => -- R/W: pmpaddr6 - physical memory protection address register 6
1977 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 7) then
1978 27 zero_gravi
              csr.rdata <= csr.pmpaddr(6);
1979 15 zero_gravi
              if (csr.pmpcfg(6)(4 downto 3) = "00") then -- mode = off
1980 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1981 15 zero_gravi
              end if;
1982
            end if;
1983 29 zero_gravi
          when csr_pmpaddr7_c => -- R/W: pmpaddr7 - physical memory protection address register 7
1984 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 8) then
1985 27 zero_gravi
              csr.rdata <= csr.pmpaddr(7);
1986 15 zero_gravi
              if (csr.pmpcfg(7)(4 downto 3) = "00") then -- mode = off
1987 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1988 15 zero_gravi
              end if;
1989
            end if;
1990
 
1991 29 zero_gravi
          -- counters and timers --
1992
          when csr_cycle_c | csr_mcycle_c => -- R/(W): [m]cycle: Cycle counter LOW
1993 27 zero_gravi
            csr.rdata <= csr.mcycle(31 downto 0);
1994 29 zero_gravi
          when csr_time_c => -- R/-: time: System time LOW (from MTIME unit)
1995 27 zero_gravi
            csr.rdata <= time_i(31 downto 0);
1996 29 zero_gravi
          when csr_instret_c | csr_minstret_c => -- R/(W): [m]instret: Instructions-retired counter LOW
1997 27 zero_gravi
            csr.rdata <= csr.minstret(31 downto 0);
1998 29 zero_gravi
          when csr_cycleh_c | csr_mcycleh_c => -- R/(W): [m]cycleh: Cycle counter HIGH
1999 27 zero_gravi
            csr.rdata <= csr.mcycleh(31 downto 0);
2000 29 zero_gravi
          when csr_timeh_c => -- R/-: timeh: System time HIGH (from MTIME unit)
2001 27 zero_gravi
            csr.rdata <= time_i(63 downto 32);
2002 29 zero_gravi
          when csr_instreth_c | csr_minstreth_c => -- R/(W): [m]instreth: Instructions-retired counter HIGH
2003 27 zero_gravi
            csr.rdata <= csr.minstreth(31 downto 0);
2004 11 zero_gravi
 
2005
          -- machine information registers --
2006 29 zero_gravi
          when csr_mvendorid_c => -- R/-: mvendorid - vendor ID
2007 27 zero_gravi
            csr.rdata <= (others => '0');
2008 34 zero_gravi
          when csr_marchid_c => -- R/-: marchid - arch ID
2009
            csr.rdata(4 downto 0) <= "10011"; -- official RISC-V open-source arch ID
2010 32 zero_gravi
          when csr_mimpid_c => -- R/-: mimpid - implementation ID
2011
            csr.rdata <= hw_version_c; -- NEORV32 hardware version
2012 29 zero_gravi
          when csr_mhartid_c => -- R/-: mhartid - hardware thread ID
2013 27 zero_gravi
            csr.rdata <= HW_THREAD_ID;
2014 11 zero_gravi
 
2015 22 zero_gravi
          -- custom machine read-only CSRs --
2016 39 zero_gravi
          when csr_mzext_c => -- R/-: mzext - available Z* extensions
2017 32 zero_gravi
            csr.rdata(0) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr);    -- RISC-V.Zicsr CPU extension
2018
            csr.rdata(1) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- RISC-V.Zifencei CPU extension
2019 33 zero_gravi
            csr.rdata(2) <= bool_to_ulogic_f(PMP_USE);                      -- RISC-V physical memory protection
2020 40 zero_gravi
            csr.rdata(3) <= bool_to_ulogic_f(zicnt_en_c);                   -- RISC-V performance counters ([m]cycle[h] & [m]instret[h]) implemented
2021 22 zero_gravi
 
2022 11 zero_gravi
          -- undefined/unavailable --
2023
          when others =>
2024 27 zero_gravi
            csr.rdata <= (others => '0'); -- not implemented
2025 11 zero_gravi
 
2026
        end case;
2027 2 zero_gravi
      end if;
2028
    end if;
2029
  end process csr_read_access;
2030
 
2031 27 zero_gravi
  -- CSR read data output --
2032
  csr_rdata_o <= csr.rdata;
2033
 
2034 12 zero_gravi
 
2035 2 zero_gravi
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.