OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 41

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Control >>                                                                   #
3
-- # ********************************************************************************************* #
4 31 zero_gravi
-- # CPU operation is split into a fetch engine (responsible for fetching instruction data), an    #
5
-- # issue engine (for recoding compressed instructions and for constructing 32-bit instruction    #
6
-- # words) and an execute engine (responsible for actually executing the instructions), a trap    #
7
-- # handling controller and the RISC-V status and control register set (CSRs).                    #
8 2 zero_gravi
-- # ********************************************************************************************* #
9
-- # BSD 3-Clause License                                                                          #
10
-- #                                                                                               #
11
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
12
-- #                                                                                               #
13
-- # Redistribution and use in source and binary forms, with or without modification, are          #
14
-- # permitted provided that the following conditions are met:                                     #
15
-- #                                                                                               #
16
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
17
-- #    conditions and the following disclaimer.                                                   #
18
-- #                                                                                               #
19
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
20
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
21
-- #    provided with the distribution.                                                            #
22
-- #                                                                                               #
23
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
24
-- #    endorse or promote products derived from this software without specific prior written      #
25
-- #    permission.                                                                                #
26
-- #                                                                                               #
27
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
28
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
29
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
30
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
31
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
32
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
33
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
34
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
35
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
36
-- # ********************************************************************************************* #
37
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
38
-- #################################################################################################
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
library neorv32;
45
use neorv32.neorv32_package.all;
46
 
47
entity neorv32_cpu_control is
48
  generic (
49
    -- General --
50 12 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
51
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
52 2 zero_gravi
    -- RISC-V CPU Extensions --
53 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
54 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
55
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
56
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
57 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
58 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
59 15 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
60
    -- Physical memory protection (PMP) --
61 40 zero_gravi
    PMP_USE                      : boolean := false  -- implement physical memory protection?
62 2 zero_gravi
  );
63
  port (
64
    -- global control --
65
    clk_i         : in  std_ulogic; -- global clock, rising edge
66
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
67
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
68
    -- status input --
69
    alu_wait_i    : in  std_ulogic; -- wait for ALU
70 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
71
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
72 2 zero_gravi
    -- data input --
73
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
74
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
75 36 zero_gravi
    alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
76
    rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
77 2 zero_gravi
    -- data output --
78
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
79 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
80
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
81 2 zero_gravi
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
82 14 zero_gravi
    -- interrupts (risc-v compliant) --
83
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
84
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
85 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
86 14 zero_gravi
    -- fast interrupts (custom) --
87
    firq_i        : in  std_ulogic_vector(3 downto 0);
88 11 zero_gravi
    -- system time input from MTIME --
89
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
90 15 zero_gravi
    -- physical memory protection --
91 23 zero_gravi
    pmp_addr_o    : out pmp_addr_if_t; -- addresses
92
    pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
93 2 zero_gravi
    -- bus access exceptions --
94
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0);  -- memory address register
95
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
96
    ma_load_i     : in  std_ulogic; -- misaligned load data address
97
    ma_store_i    : in  std_ulogic; -- misaligned store data address
98
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
99
    be_load_i     : in  std_ulogic; -- bus error on load data access
100 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
101 2 zero_gravi
  );
102
end neorv32_cpu_control;
103
 
104
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
105
 
106 6 zero_gravi
  -- instruction fetch enginge --
107 31 zero_gravi
  type fetch_engine_state_t is (IFETCH_RESET, IFETCH_REQUEST, IFETCH_ISSUE);
108 6 zero_gravi
  type fetch_engine_t is record
109 31 zero_gravi
    state       : fetch_engine_state_t;
110
    state_nxt   : fetch_engine_state_t;
111
    pc          : std_ulogic_vector(data_width_c-1 downto 0);
112
    pc_nxt      : std_ulogic_vector(data_width_c-1 downto 0);
113
    reset       : std_ulogic;
114
    bus_err_ack : std_ulogic;
115 6 zero_gravi
  end record;
116
  signal fetch_engine : fetch_engine_t;
117 2 zero_gravi
 
118 32 zero_gravi
  -- instrucion prefetch buffer (IPB, real FIFO) --
119 31 zero_gravi
  type ipb_data_fifo_t is array (0 to ipb_entries_c-1) of std_ulogic_vector(2+31 downto 0);
120 6 zero_gravi
  type ipb_t is record
121 31 zero_gravi
    wdata : std_ulogic_vector(2+31 downto 0); -- write status (bus_error, align_error) + 32-bit instruction data
122
    we    : std_ulogic; -- trigger write
123
    free  : std_ulogic; -- free entry available?
124
    clear : std_ulogic; -- clear all entries
125 20 zero_gravi
    --
126 31 zero_gravi
    rdata : std_ulogic_vector(2+31 downto 0); -- read data: status (bus_error, align_error) + 32-bit instruction data
127
    re    : std_ulogic; -- read enable
128
    avail : std_ulogic; -- data available?
129 20 zero_gravi
    --
130 31 zero_gravi
    w_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- write pointer
131
    r_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- read pointer
132 34 zero_gravi
    match : std_ulogic;
133 31 zero_gravi
    empty : std_ulogic;
134
    full  : std_ulogic;
135 20 zero_gravi
    --
136 31 zero_gravi
    data  : ipb_data_fifo_t; -- fifo memory
137 6 zero_gravi
  end record;
138
  signal ipb : ipb_t;
139 2 zero_gravi
 
140 31 zero_gravi
  -- pre-decoder --
141
  signal ci_instr16 : std_ulogic_vector(15 downto 0);
142
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
143
  signal ci_illegal : std_ulogic;
144
 
145
  -- instruction issue enginge --
146
  type issue_engine_state_t is (ISSUE_ACTIVE, ISSUE_REALIGN);
147
  type issue_engine_t is record
148
    state     : issue_engine_state_t;
149
    state_nxt : issue_engine_state_t;
150
    align     : std_ulogic;
151
    align_nxt : std_ulogic;
152
    buf       : std_ulogic_vector(2+15 downto 0);
153
    buf_nxt   : std_ulogic_vector(2+15 downto 0);
154
  end record;
155
  signal issue_engine : issue_engine_t;
156
 
157 37 zero_gravi
  -- instruction issue interface --
158
  type cmd_issue_t is record
159
    data  : std_ulogic_vector(35 downto 0); -- 4-bit status + 32-bit instruction
160
    valid : std_ulogic; -- data word is valid when set
161 31 zero_gravi
  end record;
162 37 zero_gravi
  signal cmd_issue : cmd_issue_t;
163 31 zero_gravi
 
164 6 zero_gravi
  -- instruction execution engine --
165 39 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP, EXECUTE, ALU_WAIT, BRANCH, FENCE_OP, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, SYS_ENV, CSR_ACCESS);
166 6 zero_gravi
  type execute_engine_t is record
167
    state        : execute_engine_state_t;
168
    state_nxt    : execute_engine_state_t;
169 39 zero_gravi
    --
170 6 zero_gravi
    i_reg        : std_ulogic_vector(31 downto 0);
171
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
172 33 zero_gravi
    i_reg_last   : std_ulogic_vector(31 downto 0); -- last executed instruction
173 39 zero_gravi
    --
174 6 zero_gravi
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
175
    is_ci_nxt    : std_ulogic;
176 29 zero_gravi
    is_cp_op     : std_ulogic; -- current instruction is a co-processor operation
177
    is_cp_op_nxt : std_ulogic;
178 39 zero_gravi
    --
179 6 zero_gravi
    branch_taken : std_ulogic; -- branch condition fullfilled
180
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
181 39 zero_gravi
    pc_mux_sel   : std_ulogic_vector(1 downto 0); -- source select for PC update
182
    pc_we        : std_ulogic; -- PC update enabled
183 6 zero_gravi
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
184
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
185 39 zero_gravi
    --
186 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
187 39 zero_gravi
    sleep_nxt    : std_ulogic;
188 20 zero_gravi
    if_rst       : std_ulogic; -- instruction fetch was reset
189 39 zero_gravi
    if_rst_nxt   : std_ulogic;
190 6 zero_gravi
  end record;
191
  signal execute_engine : execute_engine_t;
192 2 zero_gravi
 
193 6 zero_gravi
  -- trap controller --
194
  type trap_ctrl_t is record
195
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
196
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
197
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
198
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
199
    exc_ack       : std_ulogic; -- acknowledge all exceptions
200
    irq_ack       : std_ulogic_vector(interrupt_width_c-1 downto 0); -- acknowledge specific interrupt
201
    irq_ack_nxt   : std_ulogic_vector(interrupt_width_c-1 downto 0);
202 40 zero_gravi
    cause         : std_ulogic_vector(5 downto 0); -- trap ID for mcause CSR
203 14 zero_gravi
    cause_nxt     : std_ulogic_vector(5 downto 0);
204 6 zero_gravi
    --
205
    env_start     : std_ulogic; -- start trap handler env
206
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
207
    env_end       : std_ulogic; -- end trap handler env
208
    --
209
    instr_be      : std_ulogic; -- instruction fetch bus error
210
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
211
    instr_il      : std_ulogic; -- illegal instruction
212
    env_call      : std_ulogic;
213
    break_point   : std_ulogic;
214
  end record;
215
  signal trap_ctrl : trap_ctrl_t;
216 39 zero_gravi
 
217
  -- atomic operations controller --
218
  type atomic_ctrl_t is record
219
    env_start  : std_ulogic; -- begin atomic operations
220
    env_end    : std_ulogic; -- end atomic operations
221
    env_end_ff : std_ulogic; -- end atomic operations dealyed
222
    env_abort  : std_ulogic; -- atomic operations abort (results in failure)
223
    lock       : std_ulogic; -- lock status
224
  end record;
225
  signal atomic_ctrl : atomic_ctrl_t;
226 6 zero_gravi
 
227 40 zero_gravi
  -- CPU main control bus --
228 6 zero_gravi
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
229 2 zero_gravi
 
230 40 zero_gravi
  -- fast instruction fetch access --
231 6 zero_gravi
  signal bus_fast_ir : std_ulogic;
232 2 zero_gravi
 
233 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
234 40 zero_gravi
  type pmp_ctrl_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(7 downto 0);
235
  type pmp_addr_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(data_width_c-1 downto 0);
236 6 zero_gravi
  type csr_t is record
237 41 zero_gravi
    addr             : std_ulogic_vector(11 downto 0); -- csr address
238
    we               : std_ulogic; -- csr write enable
239
    we_nxt           : std_ulogic;
240
    re               : std_ulogic; -- csr read enable
241
    re_nxt           : std_ulogic;
242
    wdata            : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data
243
    rdata            : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
244 29 zero_gravi
    --
245 41 zero_gravi
    mstatus_mie      : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
246
    mstatus_mpie     : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/W)
247
    mstatus_mpp      : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode
248 29 zero_gravi
    --
249 41 zero_gravi
    mie_msie         : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
250
    mie_meie         : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
251
    mie_mtie         : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W)
252
    mie_firqe        : std_ulogic_vector(3 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
253 29 zero_gravi
    --
254 41 zero_gravi
    mcounteren_cy    : std_ulogic; -- mcounteren.cy: allow cycle[h] access from user-mode
255
    mcounteren_tm    : std_ulogic; -- mcounteren.tm: allow time[h] access from user-mode
256
    mcounteren_ir    : std_ulogic; -- mcounteren.ir: allow instret[h] access from user-mode
257 29 zero_gravi
    --
258 41 zero_gravi
    mcountinhibit_cy : std_ulogic; -- mcounterinhibit.cy: enable auto-increment
259
    mcountinhibit_ir : std_ulogic; -- mcounterinhibit.ir: enable auto-increment
260 40 zero_gravi
    --
261 41 zero_gravi
    mip_status       : std_ulogic_vector(interrupt_width_c-1  downto 0); -- current buffered IRQs
262
    mip_clear        : std_ulogic_vector(interrupt_width_c-1  downto 0); -- set bits clear the according buffered IRQ
263
    --
264
    privilege        : std_ulogic_vector(1 downto 0); -- hart's current privilege mode
265
    priv_m_mode      : std_ulogic; -- CPU in M-mode
266
    priv_u_mode      : std_ulogic; -- CPU in u-mode
267
    --
268
    mepc             : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
269
    mcause           : std_ulogic_vector(data_width_c-1 downto 0); -- mcause: machine trap cause (R/W)
270
    mtvec            : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
271
    mtval            : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or isntruction (R/W)
272
    mscratch         : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
273
    mcycle           : std_ulogic_vector(32 downto 0); -- mcycle (R/W), plus carry bit
274
    minstret         : std_ulogic_vector(32 downto 0); -- minstret (R/W), plus carry bit
275
    mcycleh          : std_ulogic_vector(31 downto 0); -- mcycleh (R/W)
276
    minstreth        : std_ulogic_vector(31 downto 0); -- minstreth (R/W)
277
    pmpcfg           : pmp_ctrl_t; -- physical memory protection - configuration registers
278
    pmpaddr          : pmp_addr_t; -- physical memory protection - address registers
279 6 zero_gravi
  end record;
280
  signal csr : csr_t;
281 2 zero_gravi
 
282 11 zero_gravi
  signal mcycle_msb   : std_ulogic;
283
  signal minstret_msb : std_ulogic;
284 2 zero_gravi
 
285 6 zero_gravi
  -- illegal instruction check --
286 36 zero_gravi
  signal illegal_opcode_lsbs : std_ulogic; -- if opcode != rv32
287 2 zero_gravi
  signal illegal_instruction : std_ulogic;
288
  signal illegal_register    : std_ulogic; -- only for E-extension
289
  signal illegal_compressed  : std_ulogic; -- only fir C-extension
290
 
291 15 zero_gravi
  -- access (privilege) check --
292
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
293
 
294 2 zero_gravi
begin
295
 
296 6 zero_gravi
-- ****************************************************************************************************************************
297 31 zero_gravi
-- Instruction Fetch (always fetches aligned 32-bit chunks of data)
298 6 zero_gravi
-- ****************************************************************************************************************************
299
 
300
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
301
  -- -------------------------------------------------------------------------------------------
302 31 zero_gravi
  fetch_engine_fsm_sync: process(rstn_i, clk_i)
303 6 zero_gravi
  begin
304
    if (rstn_i = '0') then
305
      fetch_engine.state <= IFETCH_RESET;
306 31 zero_gravi
      fetch_engine.pc    <= (others => '0');
307 6 zero_gravi
    elsif rising_edge(clk_i) then
308
      if (fetch_engine.reset = '1') then
309
        fetch_engine.state <= IFETCH_RESET;
310
      else
311
        fetch_engine.state <= fetch_engine.state_nxt;
312
      end if;
313 31 zero_gravi
      fetch_engine.pc <= fetch_engine.pc_nxt;
314 6 zero_gravi
    end if;
315
  end process fetch_engine_fsm_sync;
316
 
317 12 zero_gravi
  -- PC output --
318 31 zero_gravi
  fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0'; -- half-word aligned
319 6 zero_gravi
 
320 12 zero_gravi
 
321 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
322
  -- -------------------------------------------------------------------------------------------
323 31 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, execute_engine, ipb, instr_i, bus_i_wait_i, be_instr_i, ma_instr_i)
324 6 zero_gravi
  begin
325
    -- arbiter defaults --
326 31 zero_gravi
    bus_fast_ir              <= '0';
327
    fetch_engine.state_nxt   <= fetch_engine.state;
328
    fetch_engine.pc_nxt      <= fetch_engine.pc;
329
    fetch_engine.bus_err_ack <= '0';
330 6 zero_gravi
 
331
    -- instruction prefetch buffer interface --
332
    ipb.we    <= '0';
333 31 zero_gravi
    ipb.wdata <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store exception info and instruction word
334 6 zero_gravi
    ipb.clear <= '0';
335
 
336
    -- state machine --
337
    case fetch_engine.state is
338
 
339 31 zero_gravi
      when IFETCH_RESET => -- reset engine and prefetch buffer, get appilcation PC
340 6 zero_gravi
      -- ------------------------------------------------------------
341 31 zero_gravi
        fetch_engine.bus_err_ack <= '1'; -- acknowledge any instruction bus errors, the execute engine has to take care of them / terminate current transfer
342
        fetch_engine.pc_nxt      <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
343
        ipb.clear                <= '1'; -- clear prefetch buffer
344
        fetch_engine.state_nxt   <= IFETCH_REQUEST;
345 6 zero_gravi
 
346 31 zero_gravi
      when IFETCH_REQUEST => -- output current PC to bus system and request 32-bit (aligned!) instruction data
347 6 zero_gravi
      -- ------------------------------------------------------------
348 31 zero_gravi
        if (ipb.free = '1') then -- free entry in buffer?
349
          bus_fast_ir            <= '1'; -- fast instruction fetch request
350
          fetch_engine.state_nxt <= IFETCH_ISSUE;
351
        end if;
352 6 zero_gravi
 
353 31 zero_gravi
      when IFETCH_ISSUE => -- store instruction data to prefetch buffer
354 6 zero_gravi
      -- ------------------------------------------------------------
355 41 zero_gravi
        fetch_engine.bus_err_ack <= be_instr_i or ma_instr_i; -- ACK bus/alignment errors
356 12 zero_gravi
        if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
357 39 zero_gravi
          fetch_engine.pc_nxt    <= std_ulogic_vector(unsigned(fetch_engine.pc) + 4);
358
          ipb.we                 <= '1';
359
          fetch_engine.state_nxt <= IFETCH_REQUEST;
360 6 zero_gravi
        end if;
361 11 zero_gravi
 
362 6 zero_gravi
      when others => -- undefined
363
      -- ------------------------------------------------------------
364
        fetch_engine.state_nxt <= IFETCH_RESET;
365
 
366
    end case;
367
  end process fetch_engine_fsm_comb;
368
 
369
 
370
-- ****************************************************************************************************************************
371
-- Instruction Prefetch Buffer
372
-- ****************************************************************************************************************************
373
 
374
 
375 20 zero_gravi
  -- Instruction Prefetch Buffer (FIFO) -----------------------------------------------------
376 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
377 36 zero_gravi
  instr_prefetch_buffer: process(clk_i)
378 6 zero_gravi
  begin
379 36 zero_gravi
    if rising_edge(clk_i) then
380 20 zero_gravi
      -- write port --
381 6 zero_gravi
      if (ipb.clear = '1') then
382 20 zero_gravi
        ipb.w_pnt <= (others => '0');
383 6 zero_gravi
      elsif (ipb.we = '1') then
384 20 zero_gravi
        ipb.w_pnt <= std_ulogic_vector(unsigned(ipb.w_pnt) + 1);
385
      end if;
386 37 zero_gravi
      if (ipb.we = '1') then -- write data
387 36 zero_gravi
        ipb.data(to_integer(unsigned(ipb.w_pnt(ipb.w_pnt'left-1 downto 0)))) <= ipb.wdata;
388
      end if;
389
      -- read port --
390 20 zero_gravi
      if (ipb.clear = '1') then
391
        ipb.r_pnt <= (others => '0');
392 6 zero_gravi
      elsif (ipb.re = '1') then
393 20 zero_gravi
        ipb.r_pnt <= std_ulogic_vector(unsigned(ipb.r_pnt) + 1);
394 6 zero_gravi
      end if;
395 20 zero_gravi
    end if;
396 36 zero_gravi
  end process instr_prefetch_buffer;
397 20 zero_gravi
 
398
  -- async read --
399 31 zero_gravi
  ipb.rdata <= ipb.data(to_integer(unsigned(ipb.r_pnt(ipb.r_pnt'left-1 downto 0))));
400 20 zero_gravi
 
401 6 zero_gravi
  -- status --
402 40 zero_gravi
  ipb.match <= '1' when (ipb.r_pnt(ipb.r_pnt'left-1 downto 0) = ipb.w_pnt(ipb.w_pnt'left-1 downto 0))  else '0';
403 34 zero_gravi
  ipb.full  <= '1' when (ipb.r_pnt(ipb.r_pnt'left) /= ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
404
  ipb.empty <= '1' when (ipb.r_pnt(ipb.r_pnt'left)  = ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
405 20 zero_gravi
  ipb.free  <= not ipb.full;
406
  ipb.avail <= not ipb.empty;
407 6 zero_gravi
 
408
 
409
-- ****************************************************************************************************************************
410 31 zero_gravi
-- Instruction Issue (recoding of compressed instructions and 32-bit instruction word construction)
411
-- ****************************************************************************************************************************
412
 
413
 
414
  -- Issue Engine FSM Sync ------------------------------------------------------------------
415
  -- -------------------------------------------------------------------------------------------
416
  issue_engine_fsm_sync: process(rstn_i, clk_i)
417
  begin
418
    if (rstn_i = '0') then
419
      issue_engine.state <= ISSUE_ACTIVE;
420 40 zero_gravi
      issue_engine.align <= CPU_BOOT_ADDR(1); -- 32- or 16-bit boundary
421 31 zero_gravi
      issue_engine.buf   <= (others => '0');
422
    elsif rising_edge(clk_i) then
423
      if (ipb.clear = '1') then
424
        if (CPU_EXTENSION_RISCV_C = true) then
425
          if (execute_engine.pc(1) = '1') then -- branch to unaligned address?
426
            issue_engine.state <= ISSUE_REALIGN;
427
            issue_engine.align <= '1'; -- aligned on 16-bit boundary
428
          else
429
            issue_engine.state <= issue_engine.state_nxt;
430
            issue_engine.align <= '0'; -- aligned on 32-bit boundary
431
          end if;
432
        else
433
          issue_engine.state <= issue_engine.state_nxt;
434
          issue_engine.align <= '0'; -- always aligned on 32-bit boundaries
435
        end if;
436
      else
437
        issue_engine.state <= issue_engine.state_nxt;
438
        issue_engine.align <= issue_engine.align_nxt;
439
      end if;
440
      issue_engine.buf <= issue_engine.buf_nxt;
441
    end if;
442
  end process issue_engine_fsm_sync;
443
 
444
 
445
  -- Issue Engine FSM Comb ------------------------------------------------------------------
446
  -- -------------------------------------------------------------------------------------------
447 37 zero_gravi
  issue_engine_fsm_comb: process(issue_engine, ipb, execute_engine, ci_illegal, ci_instr32)
448 31 zero_gravi
  begin
449
    -- arbiter defaults --
450
    issue_engine.state_nxt <= issue_engine.state;
451
    issue_engine.align_nxt <= issue_engine.align;
452
    issue_engine.buf_nxt   <= issue_engine.buf;
453
 
454
    -- instruction prefetch buffer interface defaults --
455
    ipb.re <= '0';
456
 
457 37 zero_gravi
    -- instruction issue interface defaults --
458
    -- cmd_issue.data = <illegal_compressed_instruction> & <bus_error & alignment_error> & <is_compressed_instrucion> & <32-bit_instruction_word>
459
    cmd_issue.data  <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
460
    cmd_issue.valid <= '0';
461 31 zero_gravi
 
462
    -- state machine --
463
    case issue_engine.state is
464
 
465
      when ISSUE_ACTIVE => -- issue instruction if available
466
      -- ------------------------------------------------------------
467
        if (ipb.avail = '1') then -- instructions available?
468
 
469
          if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- begin check in LOW instruction half-word
470 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
471 39 zero_gravi
              cmd_issue.valid      <= '1';
472 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
473
              if (ipb.rdata(1 downto 0) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed and "aligned"
474 37 zero_gravi
                ipb.re <= '1';
475
                cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
476 31 zero_gravi
              else -- compressed
477 37 zero_gravi
                ipb.re <= '1';
478
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
479 31 zero_gravi
                issue_engine.align_nxt <= '1';
480
              end if;
481
            end if;
482
 
483
          else -- begin check in HIGH instruction half-word
484 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
485 39 zero_gravi
              cmd_issue.valid      <= '1';
486 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
487
              if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed and "unaligned"
488 37 zero_gravi
                ipb.re <= '1';
489
                cmd_issue.data <= '0' & issue_engine.buf(17 downto 16) & '0' & (ipb.rdata(15 downto 0) & issue_engine.buf(15 downto 0));
490 31 zero_gravi
              else -- compressed
491 36 zero_gravi
                -- do not read from ipb here!
492 37 zero_gravi
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
493 31 zero_gravi
                issue_engine.align_nxt <= '0';
494
              end if;
495
            end if;
496
          end if;
497
        end if;
498
 
499
      when ISSUE_REALIGN => -- re-align input fifos after a branch to an unaligned address
500
      -- ------------------------------------------------------------
501
        issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16);
502
        if (ipb.avail = '1') then -- instructions available?
503
          ipb.re <= '1';
504
          issue_engine.state_nxt <= ISSUE_ACTIVE;
505
        end if;
506
 
507
      when others => -- undefined
508
      -- ------------------------------------------------------------
509
        issue_engine.state_nxt <= ISSUE_ACTIVE;
510
 
511
    end case;
512
  end process issue_engine_fsm_comb;
513
 
514 41 zero_gravi
  -- 16-bit instructions: half-word select --
515 31 zero_gravi
  ci_instr16 <= ipb.rdata(15 downto 0) when (issue_engine.align = '0') else issue_engine.buf(15 downto 0);
516
 
517
 
518
  -- Compressed Instructions Recoding -------------------------------------------------------
519
  -- -------------------------------------------------------------------------------------------
520
  neorv32_cpu_decompressor_inst_true:
521
  if (CPU_EXTENSION_RISCV_C = true) generate
522
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
523
    port map (
524
      -- instruction input --
525
      ci_instr16_i => ci_instr16, -- compressed instruction input
526
      -- instruction output --
527
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
528
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
529
    );
530
  end generate;
531
 
532
  neorv32_cpu_decompressor_inst_false:
533
  if (CPU_EXTENSION_RISCV_C = false) generate
534
    ci_instr32 <= (others => '0');
535
    ci_illegal <= '0';
536
  end generate;
537
 
538
 
539
-- ****************************************************************************************************************************
540 6 zero_gravi
-- Instruction Execution
541
-- ****************************************************************************************************************************
542
 
543
 
544 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
545
  -- -------------------------------------------------------------------------------------------
546 38 zero_gravi
  imm_gen: process(execute_engine.i_reg, clk_i)
547 37 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
548 2 zero_gravi
  begin
549 38 zero_gravi
    opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
550 2 zero_gravi
    if rising_edge(clk_i) then
551 40 zero_gravi
      if (execute_engine.state = BRANCH) then -- next_PC as immediate for jump-and-link operations (=return address)
552 39 zero_gravi
        imm_o <= execute_engine.next_pc;
553 40 zero_gravi
      else -- "normal" immediate from instruction
554 39 zero_gravi
        case opcode_v is -- save some bits here, LSBs are always 11 for rv32
555
          when opcode_store_c => -- S-immediate
556
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
557
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
558
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
559
            imm_o(00)           <= execute_engine.i_reg(07);
560
          when opcode_branch_c => -- B-immediate
561
            imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
562
            imm_o(11)           <= execute_engine.i_reg(07);
563
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
564
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
565
            imm_o(00)           <= '0';
566
          when opcode_lui_c | opcode_auipc_c => -- U-immediate
567
            imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
568
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
569
            imm_o(11 downto 00) <= (others => '0');
570
          when opcode_jal_c => -- J-immediate
571
            imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
572
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
573
            imm_o(11)           <= execute_engine.i_reg(20);
574
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
575
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
576
            imm_o(00)           <= '0';
577
          when opcode_atomic_c => -- atomic memory access
578 40 zero_gravi
            imm_o               <= (others => '0'); -- effective address is addr = reg + 0 = reg
579 39 zero_gravi
          when others => -- I-immediate
580
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
581
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
582
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
583
            imm_o(00)           <= execute_engine.i_reg(20);
584
        end case;
585
      end if;
586 2 zero_gravi
    end if;
587
  end process imm_gen;
588
 
589
 
590
  -- Branch Condition Check -----------------------------------------------------------------
591
  -- -------------------------------------------------------------------------------------------
592 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
593 2 zero_gravi
  begin
594 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
595 2 zero_gravi
      when funct3_beq_c => -- branch if equal
596 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_equal_c);
597 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
598 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_equal_c);
599 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
600 6 zero_gravi
        execute_engine.branch_taken <= cmp_i(alu_cmp_less_c);
601 2 zero_gravi
      when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned)
602 6 zero_gravi
        execute_engine.branch_taken <= not cmp_i(alu_cmp_less_c);
603 2 zero_gravi
      when others => -- undefined
604 6 zero_gravi
        execute_engine.branch_taken <= '0';
605 2 zero_gravi
    end case;
606
  end process branch_check;
607
 
608
 
609 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
610 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
611 12 zero_gravi
  -- for registers that DO require a specific reset state --
612 6 zero_gravi
  execute_engine_fsm_sync_rst: process(rstn_i, clk_i)
613 2 zero_gravi
  begin
614
    if (rstn_i = '0') then
615 40 zero_gravi
      execute_engine.pc     <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
616
      execute_engine.state  <= SYS_WAIT;
617
      execute_engine.sleep  <= '0';
618
      execute_engine.if_rst <= '1'; -- instruction fetch is reset after system reset
619 2 zero_gravi
    elsif rising_edge(clk_i) then
620 39 zero_gravi
      -- PC update --
621
      if (execute_engine.pc_we = '1') then
622
        case execute_engine.pc_mux_sel is
623
          when "00"   => execute_engine.pc <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- normal (linear) increment
624 41 zero_gravi
          when "01"   => execute_engine.pc <= alu_add_i(data_width_c-1 downto 1) & '0'; -- jump/taken_branch
625 40 zero_gravi
          when "10"   => execute_engine.pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
626 41 zero_gravi
          when others => execute_engine.pc <= csr.mepc(data_width_c-1 downto 1) & '0';  -- trap exit
627 39 zero_gravi
        end case;
628
      end if;
629
      --
630 40 zero_gravi
      execute_engine.state  <= execute_engine.state_nxt;
631
      execute_engine.sleep  <= execute_engine.sleep_nxt;
632
      execute_engine.if_rst <= execute_engine.if_rst_nxt;
633 2 zero_gravi
    end if;
634 6 zero_gravi
  end process execute_engine_fsm_sync_rst;
635 2 zero_gravi
 
636 6 zero_gravi
 
637 12 zero_gravi
  -- for registers that do NOT require a specific reset state --
638 6 zero_gravi
  execute_engine_fsm_sync: process(clk_i)
639 2 zero_gravi
  begin
640
    if rising_edge(clk_i) then
641 40 zero_gravi
      execute_engine.i_reg    <= execute_engine.i_reg_nxt;
642
      execute_engine.is_ci    <= execute_engine.is_ci_nxt;
643
      execute_engine.is_cp_op <= execute_engine.is_cp_op_nxt;
644 39 zero_gravi
      -- next PC (next linear instruction) --
645 40 zero_gravi
      if (execute_engine.state = EXECUTE) then
646
        if (execute_engine.is_ci = '1') then -- compressed instruction?
647
          execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + 2);
648
        else
649
          execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + 4);
650
        end if;
651 37 zero_gravi
      end if;
652 39 zero_gravi
      -- PC & IR of last "executed" instruction --
653
      if (execute_engine.state = EXECUTE) then
654 40 zero_gravi
        execute_engine.last_pc    <= execute_engine.pc;
655 39 zero_gravi
        execute_engine.i_reg_last <= execute_engine.i_reg;
656
      end if;
657
      -- main control bus --
658 6 zero_gravi
      ctrl <= ctrl_nxt;
659 2 zero_gravi
    end if;
660 6 zero_gravi
  end process execute_engine_fsm_sync;
661 2 zero_gravi
 
662 41 zero_gravi
  -- CSR access address --
663
  csr.addr <= execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c);
664
 
665 20 zero_gravi
  -- PC output --
666 39 zero_gravi
  curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- PC for ALU ops
667 6 zero_gravi
 
668 20 zero_gravi
 
669 6 zero_gravi
  -- CPU Control Bus Output -----------------------------------------------------------------
670
  -- -------------------------------------------------------------------------------------------
671 40 zero_gravi
  ctrl_output: process(ctrl, fetch_engine, trap_ctrl, atomic_ctrl, bus_fast_ir, execute_engine, csr)
672 2 zero_gravi
  begin
673 36 zero_gravi
    -- signals from execute engine --
674 2 zero_gravi
    ctrl_o <= ctrl;
675 36 zero_gravi
    -- current privilege level --
676
    ctrl_o(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) <= csr.privilege;
677
    -- register addresses --
678 40 zero_gravi
    ctrl_o(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c);
679
    ctrl_o(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c);
680
    ctrl_o(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c)  <= execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c);
681 12 zero_gravi
    -- fast bus access requests --
682 36 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= bus_fast_ir;
683 12 zero_gravi
    -- bus error control --
684
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack;
685
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack;
686 36 zero_gravi
    -- instruction's function blocks (for co-processors) --
687
    ctrl_o(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_0_c) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c);
688
    ctrl_o(ctrl_ir_funct3_2_c   downto ctrl_ir_funct3_0_c)  <= execute_engine.i_reg(instr_funct3_msb_c  downto instr_funct3_lsb_c);
689 39 zero_gravi
    -- locked bus operation (for atomica memory operations) --
690
    ctrl_o(ctrl_bus_lock_c) <= atomic_ctrl.lock; -- (bus) lock status
691 6 zero_gravi
  end process ctrl_output;
692 2 zero_gravi
 
693
 
694 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
695
  -- -------------------------------------------------------------------------------------------
696 37 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, fetch_engine, cmd_issue, trap_ctrl, csr, ctrl, csr_acc_valid,
697 39 zero_gravi
                                   alu_wait_i, bus_d_wait_i, ma_load_i, be_load_i, ma_store_i, be_store_i)
698 2 zero_gravi
    variable alu_immediate_v : std_ulogic;
699
    variable rs1_is_r0_v     : std_ulogic;
700 36 zero_gravi
    variable opcode_v        : std_ulogic_vector(6 downto 0);
701 39 zero_gravi
    variable is_atomic_lr_v  : std_ulogic;
702
    variable is_atomic_sc_v  : std_ulogic;
703 2 zero_gravi
  begin
704
    -- arbiter defaults --
705 29 zero_gravi
    execute_engine.state_nxt    <= execute_engine.state;
706
    execute_engine.i_reg_nxt    <= execute_engine.i_reg;
707
    execute_engine.is_cp_op_nxt <= execute_engine.is_cp_op;
708
    execute_engine.is_ci_nxt    <= execute_engine.is_ci;
709
    execute_engine.sleep_nxt    <= execute_engine.sleep;
710
    execute_engine.if_rst_nxt   <= execute_engine.if_rst;
711 39 zero_gravi
    --
712
    execute_engine.pc_mux_sel   <= (others => '0');
713
    execute_engine.pc_we        <= '0';
714 2 zero_gravi
 
715 6 zero_gravi
    -- instruction dispatch --
716 37 zero_gravi
    fetch_engine.reset          <= '0';
717 2 zero_gravi
 
718 6 zero_gravi
    -- trap environment control --
719 37 zero_gravi
    trap_ctrl.env_start_ack     <= '0';
720
    trap_ctrl.env_end           <= '0';
721 6 zero_gravi
 
722 2 zero_gravi
    -- exception trigger --
723 37 zero_gravi
    trap_ctrl.instr_be          <= '0';
724
    trap_ctrl.instr_ma          <= '0';
725
    trap_ctrl.env_call          <= '0';
726
    trap_ctrl.break_point       <= '0';
727
    illegal_compressed          <= '0';
728 2 zero_gravi
 
729 6 zero_gravi
    -- CSR access --
730 37 zero_gravi
    csr.we_nxt                  <= '0';
731
    csr.re_nxt                  <= '0';
732 6 zero_gravi
 
733 39 zero_gravi
    -- atomic operations control --
734
    atomic_ctrl.env_start       <= '0';
735
    atomic_ctrl.env_end         <= '0';
736
    atomic_ctrl.env_abort       <= '0';
737
 
738
    -- CONTROL DEFAULTS --
739 36 zero_gravi
    ctrl_nxt <= (others => '0'); -- default: all off
740 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
741 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation? (SLTIU, SLTU)
742 2 zero_gravi
    else -- branches
743 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches? (BLTU, BGEU)
744 2 zero_gravi
    end if;
745 40 zero_gravi
    -- memory access --
746 39 zero_gravi
    ctrl_nxt(ctrl_bus_unsigned_c)                            <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
747
    ctrl_nxt(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size
748
    -- alu.shifter --
749 27 zero_gravi
    ctrl_nxt(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
750
    ctrl_nxt(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
751 40 zero_gravi
    -- ALU main control --
752
    ctrl_nxt(ctrl_alu_addsub_c)                         <= '0'; -- ADD(I)
753
    ctrl_nxt(ctrl_alu_func1_c  downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- default ALU function select: arithmetic
754
    ctrl_nxt(ctrl_alu_arith_c)                          <= alu_arith_cmd_addsub_c; -- default ALU arithmetic operation: ADDSUB
755 2 zero_gravi
 
756 26 zero_gravi
    -- is immediate ALU operation? --
757
    alu_immediate_v := not execute_engine.i_reg(instr_opcode_msb_c-1);
758 2 zero_gravi
 
759 26 zero_gravi
    -- is rs1 == r0? --
760
    rs1_is_r0_v := not or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c));
761 2 zero_gravi
 
762 39 zero_gravi
    -- is atomic load-reservate/store-conditional? --
763
    if (CPU_EXTENSION_RISCV_A = true) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') then -- valid atomic sub-opcode
764
      is_atomic_lr_v := not execute_engine.i_reg(instr_funct5_lsb_c);
765
      is_atomic_sc_v :=     execute_engine.i_reg(instr_funct5_lsb_c);
766
    else
767
      is_atomic_lr_v := '0';
768
      is_atomic_sc_v := '0';
769
    end if;
770 26 zero_gravi
 
771 39 zero_gravi
 
772 6 zero_gravi
    -- state machine --
773
    case execute_engine.state is
774 2 zero_gravi
 
775 25 zero_gravi
      when SYS_WAIT => -- System delay cycle (used to wait for side effects to kick in) ((and to init r0 with zero if it is a physical register))
776 2 zero_gravi
      -- ------------------------------------------------------------
777 26 zero_gravi
        -- set reg_file's r0 to zero --
778 25 zero_gravi
        if (rf_r0_is_reg_c = true) then -- is r0 implemented as physical register, which has to be set to zero?
779 37 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output (hacky! results zero since there is no valid CSR_read)
780 36 zero_gravi
          ctrl_nxt(ctrl_rf_r0_we_c) <= '1'; -- force RF write access and force rd=r0
781 25 zero_gravi
        end if;
782
        --
783 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
784 2 zero_gravi
 
785 39 zero_gravi
 
786 37 zero_gravi
      when DISPATCH => -- Get new command from instruction issue engine
787 25 zero_gravi
      -- ------------------------------------------------------------
788 39 zero_gravi
        execute_engine.pc_mux_sel <= "00"; -- linear next PC
789 40 zero_gravi
        -- IR update --
790
        execute_engine.is_ci_nxt <= cmd_issue.data(32); -- flag to indicate a de-compressed instruction beeing executed
791
        execute_engine.i_reg_nxt <= cmd_issue.data(31 downto 0);
792
        --
793 37 zero_gravi
        if (cmd_issue.valid = '1') then -- instruction available?
794 40 zero_gravi
          -- IR update - exceptions --
795
          trap_ctrl.instr_ma <= cmd_issue.data(33); -- misaligned instruction fetch address
796
          trap_ctrl.instr_be <= cmd_issue.data(34); -- bus access fault during instruction fetch
797
          illegal_compressed <= cmd_issue.data(35); -- invalid decompressed instruction
798 37 zero_gravi
          -- PC update --
799 27 zero_gravi
          execute_engine.if_rst_nxt <= '0';
800 40 zero_gravi
          execute_engine.pc_we      <= not execute_engine.if_rst; -- update PC with linear next_pc if there was NO non-linear PC modification
801
          -- any reason to go to trap state? --
802 37 zero_gravi
          if (execute_engine.sleep = '1') or (trap_ctrl.env_start = '1') or (trap_ctrl.exc_fire = '1') or ((cmd_issue.data(33) or cmd_issue.data(34)) = '1') then
803 13 zero_gravi
            execute_engine.state_nxt <= TRAP;
804
          else
805 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
806 13 zero_gravi
          end if;
807
        end if;
808 2 zero_gravi
 
809 39 zero_gravi
 
810 11 zero_gravi
      when TRAP => -- Start trap environment (also used as cpu sleep state)
811 2 zero_gravi
      -- ------------------------------------------------------------
812 39 zero_gravi
        execute_engine.pc_mux_sel <= "10"; -- csr.mtvec (trap)
813 40 zero_gravi
        fetch_engine.reset        <= '1';
814
        execute_engine.if_rst_nxt <= '1'; -- this will be a non-linear PC modification
815 34 zero_gravi
        if (trap_ctrl.env_start = '1') then -- trap triggered?
816
          trap_ctrl.env_start_ack   <= '1';
817 39 zero_gravi
          execute_engine.pc_we      <= '1';
818 34 zero_gravi
          execute_engine.sleep_nxt  <= '0'; -- waky waky
819
          execute_engine.state_nxt  <= SYS_WAIT;
820 2 zero_gravi
        end if;
821
 
822 39 zero_gravi
 
823 40 zero_gravi
      when EXECUTE => -- Decode and execute instruction (control has to be here for excatly 1 cyle in any case!)
824 2 zero_gravi
      -- ------------------------------------------------------------
825 36 zero_gravi
        opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
826
        case opcode_v is
827 2 zero_gravi
 
828 25 zero_gravi
          when opcode_alu_c | opcode_alui_c => -- (immediate) ALU operation
829 2 zero_gravi
          -- ------------------------------------------------------------
830 39 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c)   <= '0'; -- use RS1 as ALU.OPA
831
            ctrl_nxt(ctrl_alu_opb_mux_c)   <= alu_immediate_v; -- use IMM as ALU.OPB for immediate operations
832
            ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
833 25 zero_gravi
 
834 39 zero_gravi
            -- ALU arithmetic operation type and ADD/SUB --
835
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
836
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then
837
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_slt_c;
838 29 zero_gravi
            else
839 39 zero_gravi
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c;
840 25 zero_gravi
            end if;
841
 
842 29 zero_gravi
            -- ADD/SUB --
843
            if ((alu_immediate_v = '0') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) or -- not an immediate op and funct7.6 set => SUB
844
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or -- SLT operation
845
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then -- SLTU operation
846
              ctrl_nxt(ctrl_alu_addsub_c) <= '1'; -- SUB/SLT
847
            else
848
              ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
849
            end if;
850
 
851 39 zero_gravi
            -- ALU logic operation --
852
            case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.logic operation (re-coding)
853
              when funct3_xor_c => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_xor_c; -- XOR(I)
854
              when funct3_or_c  => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_or_c;  -- OR(I)
855 40 zero_gravi
              when others       => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_and_c; -- AND(I)
856 39 zero_gravi
            end case;
857
 
858 41 zero_gravi
            -- co-processor (cp) access? --
859 40 zero_gravi
            ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- just in case a mul/div operation
860 39 zero_gravi
            if (CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1') then -- MULDIV CP op?
861
              execute_engine.is_cp_op_nxt                        <= '1'; -- this is a CP operation
862
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
863
            -- ALU operation - function select --
864
            else
865
              execute_engine.is_cp_op_nxt <= '0'; -- no CP operation
866
              case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.func operation (re-coding)
867
                when funct3_xor_c | funct3_or_c | funct3_and_c => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c;
868
                when funct3_sll_c | funct3_sr_c                => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
869
                when others                                    => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c;
870
              end case;
871
            end if;
872
 
873 11 zero_gravi
            -- multi cycle alu operation? --
874 25 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or -- SLL shift operation?
875
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) or -- SR shift operation?
876 39 zero_gravi
               ((CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1')) then -- MULDIV CP op?
877 6 zero_gravi
              execute_engine.state_nxt <= ALU_WAIT;
878 26 zero_gravi
            else -- single cycle ALU operation
879 2 zero_gravi
              ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
880 6 zero_gravi
              execute_engine.state_nxt <= DISPATCH;
881 2 zero_gravi
            end if;
882
 
883 25 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC
884 2 zero_gravi
          -- ------------------------------------------------------------
885 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only)
886
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
887 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c)   <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
888
            ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
889 25 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI
890 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
891 27 zero_gravi
            else -- AUIPC
892 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
893 2 zero_gravi
            end if;
894 39 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
895
            ctrl_nxt(ctrl_rf_wb_en_c)      <= '1'; -- valid RF write-back
896
            execute_engine.state_nxt       <= DISPATCH;
897 2 zero_gravi
 
898 39 zero_gravi
          when opcode_load_c | opcode_store_c | opcode_atomic_c => -- load/store / atomic memory access
899 2 zero_gravi
          -- ------------------------------------------------------------
900 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA
901
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
902 39 zero_gravi
            ctrl_nxt(ctrl_bus_mo_we_c)   <= '1'; -- write to MAR and MDO (MDO only relevant for store)
903
            --
904
            if (CPU_EXTENSION_RISCV_A = false) or (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') then -- atomic (A) extension disabled or normal load/store
905
              execute_engine.state_nxt <= LOADSTORE_0;
906
            else -- atomic operation
907
              atomic_ctrl.env_start <= not execute_engine.i_reg(instr_funct5_lsb_c); -- LR: start LOCKED memory access environment
908
              if (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c) or -- store-conditional
909
                 (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) then -- load-reservate
910
                execute_engine.state_nxt <= LOADSTORE_0;
911
              else -- unimplemented (atomic) instruction
912
                execute_engine.state_nxt <= SYS_WAIT;
913
              end if;
914
            end if;
915 2 zero_gravi
 
916 29 zero_gravi
          when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register)
917 2 zero_gravi
          -- ------------------------------------------------------------
918
            -- compute target address --
919 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
920
            ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
921 29 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR
922
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base)
923
            else -- JAL / branch
924
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base)
925 2 zero_gravi
            end if;
926 29 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset)
927 39 zero_gravi
            --
928 40 zero_gravi
            execute_engine.state_nxt <= BRANCH;
929 2 zero_gravi
 
930 8 zero_gravi
          when opcode_fence_c => -- fence operations
931
          -- ------------------------------------------------------------
932 39 zero_gravi
            execute_engine.state_nxt <= FENCE_OP;
933 8 zero_gravi
 
934 2 zero_gravi
          when opcode_syscsr_c => -- system/csr access
935
          -- ------------------------------------------------------------
936 40 zero_gravi
            csr.re_nxt <= csr_acc_valid; -- always read CSR if valid access, only relevant for CSR-instructions
937 39 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system/environment
938
              execute_engine.state_nxt <= SYS_ENV;
939 13 zero_gravi
            else -- CSR access
940
              execute_engine.state_nxt <= CSR_ACCESS;
941 2 zero_gravi
            end if;
942
 
943
          when others => -- undefined
944
          -- ------------------------------------------------------------
945 39 zero_gravi
            execute_engine.state_nxt <= SYS_WAIT;
946 2 zero_gravi
 
947
        end case;
948
 
949 39 zero_gravi
 
950
      when SYS_ENV => -- system environment operation - execution
951 2 zero_gravi
      -- ------------------------------------------------------------
952 40 zero_gravi
        execute_engine.pc_mux_sel <= "11"; -- csr.mepc (only relevant for MRET)
953 39 zero_gravi
        case execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) is
954
          when funct12_ecall_c => -- ECALL
955
            trap_ctrl.env_call <= '1';
956
          when funct12_ebreak_c => -- EBREAK
957
            trap_ctrl.break_point <= '1';
958
          when funct12_mret_c => -- MRET
959
            trap_ctrl.env_end    <= '1';
960 40 zero_gravi
            execute_engine.pc_we <= '1'; -- update PC from MEPC
961 39 zero_gravi
            fetch_engine.reset   <= '1';
962
            execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
963
          when funct12_wfi_c => -- WFI
964
            execute_engine.sleep_nxt <= '1'; -- good night
965
          when others => -- undefined
966
            NULL;
967
        end case;
968
        execute_engine.state_nxt <= SYS_WAIT;
969
 
970
 
971
      when CSR_ACCESS => -- read & write status and control register (CSR)
972
      -- ------------------------------------------------------------
973 27 zero_gravi
        -- CSR write access --
974 6 zero_gravi
        case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
975 25 zero_gravi
          when funct3_csrrw_c | funct3_csrrwi_c => -- CSRRW(I)
976 15 zero_gravi
            csr.we_nxt <= csr_acc_valid; -- always write CSR if valid access
977 27 zero_gravi
          when funct3_csrrs_c | funct3_csrrsi_c | funct3_csrrc_c | funct3_csrrci_c => -- CSRRS(I) / CSRRC(I)
978
            csr.we_nxt <= (not rs1_is_r0_v) and csr_acc_valid; -- write CSR if rs1/imm is not zero and if valid access
979 29 zero_gravi
          when others => -- invalid
980 27 zero_gravi
            csr.we_nxt <= '0';
981 2 zero_gravi
        end case;
982 27 zero_gravi
        -- register file write back --
983 12 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output
984 2 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
985 39 zero_gravi
        execute_engine.state_nxt  <= DISPATCH;
986 2 zero_gravi
 
987 39 zero_gravi
 
988 19 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation (shifter or CP) to finish
989 2 zero_gravi
      -- ------------------------------------------------------------
990 39 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
991
        ctrl_nxt(ctrl_rf_wb_en_c)      <= '1'; -- valid RF write-back (permanent write-back)
992 29 zero_gravi
        -- cp access or alu shift? --
993
        if (execute_engine.is_cp_op = '1') then
994 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
995 29 zero_gravi
        else
996 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
997 19 zero_gravi
        end if;
998
        -- wait for result --
999 6 zero_gravi
        if (alu_wait_i = '0') then
1000 29 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1001 2 zero_gravi
        end if;
1002
 
1003 39 zero_gravi
 
1004 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
1005
      -- ------------------------------------------------------------
1006 39 zero_gravi
        -- get and store return address (only relevant for jump-and-link operations) --
1007
        ctrl_nxt(ctrl_alu_opb_mux_c)                         <= '1'; -- use IMM as ALU.OPB (next_pc from immediate generator = return address)
1008
        ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
1009
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c)   <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
1010
        ctrl_nxt(ctrl_rf_in_mux_msb_c)                       <= '0'; -- RF input = ALU result
1011 40 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c)                            <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- valid RF write-back? (is jump-and-link?)
1012 39 zero_gravi
        -- destination address --
1013
        execute_engine.pc_mux_sel <= "01"; -- alu.add = branch/jump destination
1014 40 zero_gravi
        if (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') or (execute_engine.branch_taken = '1') then -- JAL/JALR or taken branch
1015 39 zero_gravi
          execute_engine.pc_we      <= '1'; -- update PC
1016 20 zero_gravi
          fetch_engine.reset        <= '1'; -- trigger new instruction fetch from modified PC
1017
          execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
1018
          execute_engine.state_nxt  <= SYS_WAIT;
1019 11 zero_gravi
        else
1020
          execute_engine.state_nxt <= DISPATCH;
1021 6 zero_gravi
        end if;
1022
 
1023 39 zero_gravi
 
1024
      when FENCE_OP => -- fence operations - execution
1025
      -- ------------------------------------------------------------
1026
        execute_engine.state_nxt  <= SYS_WAIT;
1027 40 zero_gravi
        execute_engine.pc_mux_sel <= "00"; -- linear next PC = "refetch" next instruction (only relevant for fence.i)
1028 39 zero_gravi
        -- FENCE.I --
1029
        if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fencei_c(0)) and (CPU_EXTENSION_RISCV_Zifencei = true) then
1030
          execute_engine.pc_we        <= '1';
1031
          execute_engine.if_rst_nxt   <= '1'; -- this is a non-linear PC modification
1032
          fetch_engine.reset          <= '1';
1033
          ctrl_nxt(ctrl_bus_fencei_c) <= '1';
1034
        end if;
1035
        -- FENCE --
1036
        if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fence_c(0)) then
1037
          ctrl_nxt(ctrl_bus_fence_c) <= '1';
1038
        end if;
1039
 
1040
 
1041 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
1042 6 zero_gravi
      -- ------------------------------------------------------------
1043 39 zero_gravi
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (is_atomic_lr_v = '1') then -- normal load or atomic load-reservate
1044 12 zero_gravi
          ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request
1045 39 zero_gravi
        else -- store
1046 12 zero_gravi
          ctrl_nxt(ctrl_bus_wr_c) <= '1'; -- write request
1047
        end if;
1048
        execute_engine.state_nxt <= LOADSTORE_1;
1049 6 zero_gravi
 
1050 39 zero_gravi
 
1051 12 zero_gravi
      when LOADSTORE_1 => -- memory latency
1052 6 zero_gravi
      -- ------------------------------------------------------------
1053 39 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- write input data to MDI (only relevant for LOAD)
1054
        execute_engine.state_nxt   <= LOADSTORE_2;
1055 6 zero_gravi
 
1056 39 zero_gravi
 
1057 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
1058 6 zero_gravi
      -- ------------------------------------------------------------
1059 40 zero_gravi
        -- ALU control (only relevant for atomic memory operations) --
1060
        if (CPU_EXTENSION_RISCV_A = true) then
1061
          ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_atomic_c; -- atomic.SC: result comes from "atomic co-processor"
1062 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1063
        end if;
1064 40 zero_gravi
        -- register file write-back --
1065 39 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_lsb_c) <= '0'; -- RF input = ALU.res or MEM
1066
        if (is_atomic_sc_v = '1') then
1067 40 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU.res (only relevant for atomic.SC)
1068 39 zero_gravi
        else
1069 40 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '1'; -- RF input = memory input (only relevant for LOADs)
1070 39 zero_gravi
        end if;
1071
        --
1072
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for load operations)
1073
        -- wait for memory response --
1074 37 zero_gravi
        if ((ma_load_i or be_load_i or ma_store_i or be_store_i) = '1') then -- abort if exception
1075 39 zero_gravi
          atomic_ctrl.env_abort     <= '1'; -- LOCKED (atomic) memory access environment failed (forces SC result to be non-zero => failure)
1076
          ctrl_nxt(ctrl_rf_wb_en_c) <= is_atomic_sc_v; -- SC failes: allow write back of non-zero result
1077
          execute_engine.state_nxt  <= DISPATCH;
1078 26 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
1079 39 zero_gravi
          if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (is_atomic_lr_v = '1') or (is_atomic_sc_v = '1') then -- load / load-reservate / store conditional
1080
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1081 6 zero_gravi
          end if;
1082 39 zero_gravi
          atomic_ctrl.env_end      <= '1'; -- normal end of LOCKED (atomic) memory access environment
1083 6 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1084
        end if;
1085
 
1086 39 zero_gravi
 
1087 2 zero_gravi
      when others => -- undefined
1088
      -- ------------------------------------------------------------
1089 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1090 2 zero_gravi
 
1091
    end case;
1092 6 zero_gravi
  end process execute_engine_fsm_comb;
1093 2 zero_gravi
 
1094
 
1095 15 zero_gravi
-- ****************************************************************************************************************************
1096
-- Invalid Instruction / CSR access check
1097
-- ****************************************************************************************************************************
1098
 
1099
 
1100
  -- Illegal CSR Access Check ---------------------------------------------------------------
1101
  -- -------------------------------------------------------------------------------------------
1102 40 zero_gravi
  invalid_csr_access_check: process(execute_engine.i_reg, csr)
1103
    variable csr_wacc_v : std_ulogic; -- to check access to read-only CSRs
1104
--  variable csr_racc_v : std_ulogic; -- to check access to write-only CSRs
1105 15 zero_gravi
  begin
1106 30 zero_gravi
    -- is this CSR instruction really going to write/read to/from a CSR? --
1107
    if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1108
       (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then
1109
      csr_wacc_v := '1'; -- always write CSR
1110
--    csr_racc_v := or_all_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); -- read allowed if rd != 0
1111
    else
1112
      csr_wacc_v := or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c)); -- write allowed if rs1/uimm5 != 0
1113
--    csr_racc_v := '1'; -- always read CSR
1114
    end if;
1115
 
1116 15 zero_gravi
    -- check CSR access --
1117 41 zero_gravi
    case csr.addr is
1118
      -- standard read/write CSRs --
1119
      when csr_mstatus_c    => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1120
      when csr_mstatush_c   => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1121
      when csr_misa_c       => csr_acc_valid <= csr.priv_m_mode;-- and (not csr_wacc_v); -- M-mode only, MISA is read-only in the NEORV32 but we do not cause an exception here for compatibility
1122
      when csr_mie_c        => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1123
      when csr_mtvec_c      => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1124
      when csr_mscratch_c   => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1125
      when csr_mepc_c       => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1126
      when csr_mcause_c     => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1127
      when csr_mcounteren_c => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1128
      when csr_mtval_c      => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1129
      when csr_mip_c        => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1130 15 zero_gravi
      --
1131 41 zero_gravi
      when csr_pmpcfg0_c    => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 1)) and csr.priv_m_mode; -- M-mode only
1132
      when csr_pmpcfg1_c    => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 5)) and csr.priv_m_mode; -- M-mode only
1133 15 zero_gravi
      --
1134 41 zero_gravi
      when csr_pmpaddr0_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 1)) and csr.priv_m_mode; -- M-mode only
1135
      when csr_pmpaddr1_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 2)) and csr.priv_m_mode; -- M-mode only
1136
      when csr_pmpaddr2_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 3)) and csr.priv_m_mode; -- M-mode only
1137
      when csr_pmpaddr3_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 4)) and csr.priv_m_mode; -- M-mode only
1138
      when csr_pmpaddr4_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 5)) and csr.priv_m_mode; -- M-mode only
1139
      when csr_pmpaddr5_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 6)) and csr.priv_m_mode; -- M-mode only
1140
      when csr_pmpaddr6_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 7)) and csr.priv_m_mode; -- M-mode only
1141
      when csr_pmpaddr7_c   => csr_acc_valid <= bool_to_ulogic_f(PMP_USE) and bool_to_ulogic_f(boolean(pmp_num_regions_c >= 8)) and csr.priv_m_mode; -- M-mode only
1142 15 zero_gravi
      --
1143 41 zero_gravi
      when csr_mcountinhibit_c => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1144 15 zero_gravi
      --
1145 41 zero_gravi
      when csr_mcycle_c     => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1146
      when csr_minstret_c   => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1147 15 zero_gravi
      --
1148 41 zero_gravi
      when csr_mcycleh_c    => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1149
      when csr_minstreth_c  => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1150
      -- standard read-only CSRs --
1151
      when csr_cycle_c      => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy); -- M-mode, U-mode if authorized, read-only
1152
      when csr_time_c       => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- M-mode, U-mode if authorized, read-only
1153
      when csr_instret_c    => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir); -- M-mode, U-mode if authorized, read-only
1154 15 zero_gravi
      --
1155 41 zero_gravi
      when csr_cycleh_c     => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy); -- M-mode, U-mode if authorized, read-only
1156
      when csr_timeh_c      => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- M-mode, U-mode if authorized, read-only
1157
      when csr_instreth_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir); -- M-mode, U-mode if authorized, read-only
1158 22 zero_gravi
      --
1159 41 zero_gravi
      when csr_mvendorid_c  => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1160
      when csr_marchid_c    => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1161
      when csr_mimpid_c     => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1162
      when csr_mhartid_c    => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1163
      -- custom read-only CSRs --
1164
      when csr_mzext_c      => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1165 29 zero_gravi
      --
1166 41 zero_gravi
      when others => csr_acc_valid <= '0'; -- invalid access
1167 15 zero_gravi
    end case;
1168
  end process invalid_csr_access_check;
1169
 
1170
 
1171 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
1172
  -- -------------------------------------------------------------------------------------------
1173 26 zero_gravi
  illegal_instruction_check: process(execute_engine, csr_acc_valid)
1174 36 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
1175 2 zero_gravi
  begin
1176 11 zero_gravi
    -- illegal instructions are checked in the EXECUTE stage
1177 36 zero_gravi
    -- the execute engine should not commit any illegal instruction
1178 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
1179 2 zero_gravi
      -- defaults --
1180
      illegal_instruction <= '0';
1181
      illegal_register    <= '0';
1182
 
1183 36 zero_gravi
      -- check opcode for rv32 --
1184
      if (execute_engine.i_reg(instr_opcode_lsb_c+1 downto instr_opcode_lsb_c) = "11") then
1185
        illegal_opcode_lsbs <= '0';
1186
      else
1187
        illegal_opcode_lsbs <= '1';
1188
      end if;
1189
 
1190 2 zero_gravi
      -- check instructions --
1191 36 zero_gravi
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
1192
      case opcode_v is
1193 2 zero_gravi
 
1194
        -- OPCODE check sufficient: LUI, UIPC, JAL --
1195
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c =>
1196
          illegal_instruction <= '0';
1197 23 zero_gravi
          -- illegal E-CPU register? --
1198
          if (CPU_EXTENSION_RISCV_E = true) and (execute_engine.i_reg(instr_rd_msb_c) = '1') then
1199
            illegal_register <= '1';
1200
          end if;
1201 2 zero_gravi
 
1202
        when opcode_alui_c => -- check ALUI funct7
1203 6 zero_gravi
          if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and
1204
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000")) or -- shift logical left
1205
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and
1206
              ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1207
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000"))) then -- shift right
1208 2 zero_gravi
            illegal_instruction <= '1';
1209
          else
1210
            illegal_instruction <= '0';
1211
          end if;
1212 23 zero_gravi
          -- illegal E-CPU register? --
1213
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1214
            illegal_register <= '1';
1215
          end if;
1216 39 zero_gravi
 
1217 2 zero_gravi
        when opcode_load_c => -- check LOAD funct3
1218 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
1219
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
1220
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1221
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1222
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1223 2 zero_gravi
            illegal_instruction <= '0';
1224
          else
1225
            illegal_instruction <= '1';
1226
          end if;
1227 23 zero_gravi
          -- illegal E-CPU register? --
1228
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1229
            illegal_register <= '1';
1230
          end if;
1231 39 zero_gravi
 
1232 2 zero_gravi
        when opcode_store_c => -- check STORE funct3
1233 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1234
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1235
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1236 2 zero_gravi
            illegal_instruction <= '0';
1237
          else
1238
            illegal_instruction <= '1';
1239
          end if;
1240 23 zero_gravi
          -- illegal E-CPU register? --
1241
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1242
            illegal_register <= '1';
1243
          end if;
1244 2 zero_gravi
 
1245
        when opcode_branch_c => -- check BRANCH funct3
1246 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1247
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1248
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1249
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1250
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1251
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1252 2 zero_gravi
            illegal_instruction <= '0';
1253
          else
1254
            illegal_instruction <= '1';
1255
          end if;
1256 23 zero_gravi
          -- illegal E-CPU register? --
1257
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1258
            illegal_register <= '1';
1259
          end if;
1260 2 zero_gravi
 
1261
        when opcode_jalr_c => -- check JALR funct3
1262 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1263 2 zero_gravi
            illegal_instruction <= '0';
1264
          else
1265
            illegal_instruction <= '1';
1266
          end if;
1267 23 zero_gravi
          -- illegal E-CPU register? --
1268
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1269
            illegal_register <= '1';
1270
          end if;
1271 2 zero_gravi
 
1272
        when opcode_alu_c => -- check ALU funct3 & funct7
1273 6 zero_gravi
          if (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then -- MULDIV
1274 11 zero_gravi
            if (CPU_EXTENSION_RISCV_M = false) then -- not implemented
1275 2 zero_gravi
              illegal_instruction <= '1';
1276
            end if;
1277 6 zero_gravi
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1278
                 (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and -- ADD/SUB or SRA/SRL check
1279
                ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1280
                 (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000")) then -- ADD/SUB or SRA/SRL select
1281 2 zero_gravi
            illegal_instruction <= '1';
1282
          else
1283
            illegal_instruction <= '0';
1284
          end if;
1285 23 zero_gravi
          -- illegal E-CPU register? --
1286
          if (CPU_EXTENSION_RISCV_E = true) and
1287
             ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1288
            illegal_register <= '1';
1289
          end if;
1290 2 zero_gravi
 
1291 8 zero_gravi
        when opcode_fence_c => -- fence instructions --
1292
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCE.I
1293
            illegal_instruction <= '0';
1294
          elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1295
            illegal_instruction <= '0';
1296
          else
1297
            illegal_instruction <= '1';
1298
          end if;
1299
 
1300 2 zero_gravi
        when opcode_syscsr_c => -- check system instructions --
1301
          -- CSR access --
1302 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1303
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1304
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1305
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1306
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1307
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c) then
1308 15 zero_gravi
            -- valid CSR access? --
1309
            if (csr_acc_valid = '1') then
1310 2 zero_gravi
              illegal_instruction <= '0';
1311
            else
1312
              illegal_instruction <= '1';
1313
            end if;
1314 23 zero_gravi
            -- illegal E-CPU register? --
1315
            if (CPU_EXTENSION_RISCV_E = true) then
1316
              if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR
1317
                illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1318
              else -- reg-imm CSR
1319
                illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1320
              end if;
1321
            end if;
1322 2 zero_gravi
 
1323
          -- ecall, ebreak, mret, wfi --
1324 6 zero_gravi
          elsif (execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c)  = "00000") and
1325
                (execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c) = "00000") then
1326 13 zero_gravi
            if (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1327 11 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1328 13 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_mret_c)   or -- MRET
1329
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_wfi_c) then  -- WFI
1330 2 zero_gravi
              illegal_instruction <= '0';
1331
            else
1332
              illegal_instruction <= '1';
1333
            end if;
1334
          else
1335
            illegal_instruction <= '1';
1336
          end if;
1337
 
1338 39 zero_gravi
        when opcode_atomic_c => -- atomic instructions --
1339
          if (CPU_EXTENSION_RISCV_A = true) and -- atomic memory operations (A extension) enabled
1340
             ((execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) or -- LR
1341
              (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c)) then -- SC
1342
            illegal_instruction <= '0';
1343
          else
1344
            illegal_instruction <= '1';
1345
          end if;
1346
 
1347 36 zero_gravi
        when others => -- undefined instruction -> illegal!
1348
          illegal_instruction <= '1';
1349 2 zero_gravi
 
1350
      end case;
1351
    else
1352 36 zero_gravi
      illegal_opcode_lsbs <= '0';
1353 2 zero_gravi
      illegal_instruction <= '0';
1354
      illegal_register    <= '0';
1355
    end if;
1356
  end process illegal_instruction_check;
1357
 
1358
  -- any illegal condition? --
1359 36 zero_gravi
  trap_ctrl.instr_il <= illegal_instruction or illegal_opcode_lsbs or illegal_register or illegal_compressed;
1360 2 zero_gravi
 
1361
 
1362 6 zero_gravi
-- ****************************************************************************************************************************
1363 38 zero_gravi
-- Exception and Interrupt (= Trap) Control
1364 6 zero_gravi
-- ****************************************************************************************************************************
1365 2 zero_gravi
 
1366
 
1367 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1368 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1369 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1370 40 zero_gravi
    variable mode_m_v, mode_u_v : std_ulogic;
1371 2 zero_gravi
  begin
1372
    if (rstn_i = '0') then
1373 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1374
      trap_ctrl.irq_buf   <= (others => '0');
1375
      trap_ctrl.exc_ack   <= '0';
1376
      trap_ctrl.irq_ack   <= (others => '0');
1377 40 zero_gravi
      trap_ctrl.cause     <= trap_reset_c;
1378 6 zero_gravi
      trap_ctrl.env_start <= '0';
1379 2 zero_gravi
    elsif rising_edge(clk_i) then
1380
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1381
        -- exception buffer: misaligned load/store/instruction address
1382 40 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c)    <= (trap_ctrl.exc_buf(exception_lalign_c)    or ma_load_i)          and (not trap_ctrl.exc_ack);
1383
        trap_ctrl.exc_buf(exception_salign_c)    <= (trap_ctrl.exc_buf(exception_salign_c)    or ma_store_i)         and (not trap_ctrl.exc_ack);
1384
        trap_ctrl.exc_buf(exception_ialign_c)    <= (trap_ctrl.exc_buf(exception_ialign_c)    or trap_ctrl.instr_ma) and (not trap_ctrl.exc_ack);
1385 2 zero_gravi
        -- exception buffer: load/store/instruction bus access error
1386 40 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c)   <= (trap_ctrl.exc_buf(exception_laccess_c)   or be_load_i)          and (not trap_ctrl.exc_ack);
1387
        trap_ctrl.exc_buf(exception_saccess_c)   <= (trap_ctrl.exc_buf(exception_saccess_c)   or be_store_i)         and (not trap_ctrl.exc_ack);
1388
        trap_ctrl.exc_buf(exception_iaccess_c)   <= (trap_ctrl.exc_buf(exception_iaccess_c)   or trap_ctrl.instr_be) and (not trap_ctrl.exc_ack);
1389 2 zero_gravi
        -- exception buffer: illegal instruction / env call / break point
1390 40 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or (trap_ctrl.env_call and csr.priv_m_mode)) and (not trap_ctrl.exc_ack);
1391
        trap_ctrl.exc_buf(exception_u_envcall_c) <= (trap_ctrl.exc_buf(exception_u_envcall_c) or (trap_ctrl.env_call and csr.priv_u_mode)) and (not trap_ctrl.exc_ack);
1392
        trap_ctrl.exc_buf(exception_break_c)     <= (trap_ctrl.exc_buf(exception_break_c)     or trap_ctrl.break_point)                    and (not trap_ctrl.exc_ack);
1393
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)                       and (not trap_ctrl.exc_ack);
1394 18 zero_gravi
        -- interrupt buffer: machine software/external/timer interrupt
1395 40 zero_gravi
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and (trap_ctrl.irq_buf(interrupt_msw_irq_c)   or msw_irq_i)   and (not (trap_ctrl.irq_ack(interrupt_msw_irq_c)   or csr.mip_clear(interrupt_msw_irq_c)));
1396
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and (trap_ctrl.irq_buf(interrupt_mext_irq_c)  or mext_irq_i)  and (not (trap_ctrl.irq_ack(interrupt_mext_irq_c)  or csr.mip_clear(interrupt_mext_irq_c)));
1397
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and (trap_ctrl.irq_buf(interrupt_mtime_irq_c) or mtime_irq_i) and (not (trap_ctrl.irq_ack(interrupt_mtime_irq_c) or csr.mip_clear(interrupt_mtime_irq_c)));
1398 18 zero_gravi
        -- interrupt buffer: custom fast interrupts
1399 40 zero_gravi
        trap_ctrl.irq_buf(interrupt_firq_0_c)    <= csr.mie_firqe(0) and (trap_ctrl.irq_buf(interrupt_firq_0_c) or firq_i(0)) and (not (trap_ctrl.irq_ack(interrupt_firq_0_c) or csr.mip_clear(interrupt_firq_0_c)));
1400
        trap_ctrl.irq_buf(interrupt_firq_1_c)    <= csr.mie_firqe(1) and (trap_ctrl.irq_buf(interrupt_firq_1_c) or firq_i(1)) and (not (trap_ctrl.irq_ack(interrupt_firq_1_c) or csr.mip_clear(interrupt_firq_1_c)));
1401
        trap_ctrl.irq_buf(interrupt_firq_2_c)    <= csr.mie_firqe(2) and (trap_ctrl.irq_buf(interrupt_firq_2_c) or firq_i(2)) and (not (trap_ctrl.irq_ack(interrupt_firq_2_c) or csr.mip_clear(interrupt_firq_2_c)));
1402
        trap_ctrl.irq_buf(interrupt_firq_3_c)    <= csr.mie_firqe(3) and (trap_ctrl.irq_buf(interrupt_firq_3_c) or firq_i(3)) and (not (trap_ctrl.irq_ack(interrupt_firq_3_c) or csr.mip_clear(interrupt_firq_3_c)));
1403 6 zero_gravi
        -- trap control --
1404
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1405 11 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- exception/IRQ detected!
1406 39 zero_gravi
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP))) then -- sample IRQs in EXECUTE or TRAP state only to continue execution even if permanent IRQ
1407 13 zero_gravi
            trap_ctrl.cause     <= trap_ctrl.cause_nxt;   -- capture source ID for program (for mcause csr)
1408 7 zero_gravi
            trap_ctrl.exc_ack   <= '1';                   -- clear execption
1409
            trap_ctrl.irq_ack   <= trap_ctrl.irq_ack_nxt; -- capture and clear with interrupt ACK mask
1410 13 zero_gravi
            trap_ctrl.env_start <= '1';                   -- now execute engine can start trap handler
1411 2 zero_gravi
          end if;
1412 6 zero_gravi
        else -- trap waiting to get started
1413
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1414
            trap_ctrl.exc_ack   <= '0';
1415
            trap_ctrl.irq_ack   <= (others => '0');
1416
            trap_ctrl.env_start <= '0';
1417 2 zero_gravi
          end if;
1418
        end if;
1419
      end if;
1420
    end if;
1421 6 zero_gravi
  end process trap_controller;
1422 2 zero_gravi
 
1423
  -- any exception/interrupt? --
1424 27 zero_gravi
  trap_ctrl.exc_fire <= or_all_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked
1425
  trap_ctrl.irq_fire <= or_all_f(trap_ctrl.irq_buf) and csr.mstatus_mie; -- interrupts CAN be masked
1426 2 zero_gravi
 
1427 40 zero_gravi
  -- current pending interrupts (for CSR.MIP register) --
1428
  csr.mip_status <= trap_ctrl.irq_buf;
1429 2 zero_gravi
 
1430 40 zero_gravi
 
1431 6 zero_gravi
  -- Trap Priority Detector -----------------------------------------------------------------
1432
  -- -------------------------------------------------------------------------------------------
1433
  trap_priority: process(trap_ctrl)
1434 2 zero_gravi
  begin
1435
    -- defaults --
1436 6 zero_gravi
    trap_ctrl.cause_nxt   <= (others => '0');
1437
    trap_ctrl.irq_ack_nxt <= (others => '0');
1438 2 zero_gravi
 
1439 38 zero_gravi
    -- the following traps are caused by *asynchronous* exceptions (= interrupts)
1440 12 zero_gravi
    -- here we do need a specific acknowledge mask since several sources can trigger at once
1441 9 zero_gravi
 
1442 2 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1443 6 zero_gravi
    if (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1444 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mei_c;
1445 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mext_irq_c) <= '1';
1446 2 zero_gravi
 
1447 40 zero_gravi
    -- interrupt: 1.3 machine SW interrupt --
1448
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1449
      trap_ctrl.cause_nxt <= trap_msi_c;
1450
      trap_ctrl.irq_ack_nxt(interrupt_msw_irq_c) <= '1';
1451
 
1452 2 zero_gravi
    -- interrupt: 1.7 machine timer interrupt --
1453 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then
1454 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mti_c;
1455 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mtime_irq_c) <= '1';
1456 2 zero_gravi
 
1457
 
1458 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1459
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1460
      trap_ctrl.cause_nxt <= trap_firq0_c;
1461
      trap_ctrl.irq_ack_nxt(interrupt_firq_0_c) <= '1';
1462
 
1463
    -- interrupt: 1.17 fast interrupt channel 1 --
1464
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1465
      trap_ctrl.cause_nxt <= trap_firq1_c;
1466
      trap_ctrl.irq_ack_nxt(interrupt_firq_1_c) <= '1';
1467
 
1468
    -- interrupt: 1.18 fast interrupt channel 2 --
1469
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1470
      trap_ctrl.cause_nxt <= trap_firq2_c;
1471
      trap_ctrl.irq_ack_nxt(interrupt_firq_2_c) <= '1';
1472
 
1473
    -- interrupt: 1.19 fast interrupt channel 3 --
1474
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1475
      trap_ctrl.cause_nxt <= trap_firq3_c;
1476
      trap_ctrl.irq_ack_nxt(interrupt_firq_3_c) <= '1';
1477
 
1478
 
1479 38 zero_gravi
    -- the following traps are caused by *synchronous* exceptions (= classic exceptions)
1480 12 zero_gravi
    -- here we do not need a specific acknowledge mask since only one exception (the one
1481 38 zero_gravi
    -- with highest priority) is evaluated at once
1482 4 zero_gravi
 
1483 38 zero_gravi
    -- exception: 0.1 instruction access fault --
1484 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1485 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iba_c;
1486 2 zero_gravi
 
1487 38 zero_gravi
    -- exception: 0.2 illegal instruction --
1488 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1489 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iil_c;
1490 2 zero_gravi
 
1491 38 zero_gravi
    -- exception: 0.0 instruction address misaligned --
1492 12 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1493
      trap_ctrl.cause_nxt <= trap_ima_c;
1494 2 zero_gravi
 
1495 12 zero_gravi
 
1496 38 zero_gravi
    -- exception: 0.11 environment call from M-mode --
1497 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1498 14 zero_gravi
      trap_ctrl.cause_nxt <= trap_menv_c;
1499 2 zero_gravi
 
1500 40 zero_gravi
    -- exception: 0.8 environment call from U-mode --
1501
    elsif (trap_ctrl.exc_buf(exception_u_envcall_c) = '1') then
1502
      trap_ctrl.cause_nxt <= trap_uenv_c;
1503
 
1504 38 zero_gravi
    -- exception: 0.3 breakpoint --
1505 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1506 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_brk_c;
1507 2 zero_gravi
 
1508
 
1509 38 zero_gravi
    -- exception: 0.6 store address misaligned -
1510 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1511 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sma_c;
1512 2 zero_gravi
 
1513 38 zero_gravi
    -- exception: 0.4 load address misaligned --
1514 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1515 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lma_c;
1516 2 zero_gravi
 
1517 38 zero_gravi
    -- exception: 0.7 store access fault --
1518 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1519 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sbe_c;
1520 2 zero_gravi
 
1521 38 zero_gravi
    -- exception: 0.5 load access fault --
1522 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1523 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lbe_c;
1524 2 zero_gravi
 
1525
    -- undefined / not implemented --
1526
    else
1527 6 zero_gravi
      trap_ctrl.cause_nxt   <= (others => '0');
1528
      trap_ctrl.irq_ack_nxt <= (others => '0');
1529 2 zero_gravi
    end if;
1530 6 zero_gravi
  end process trap_priority;
1531 39 zero_gravi
 
1532
 
1533
  -- Atomic Operation Controller ------------------------------------------------------------
1534
  -- -------------------------------------------------------------------------------------------
1535
  atomics_controller: process(rstn_i, clk_i)
1536
  begin
1537
    if (rstn_i = '0') then
1538
      atomic_ctrl.lock       <= '0';
1539
      atomic_ctrl.env_end_ff <= '0';
1540
    elsif rising_edge(clk_i) then
1541
      if (CPU_EXTENSION_RISCV_A = true) then
1542
        if (atomic_ctrl.env_end_ff = '1') or -- normal termination
1543 40 zero_gravi
           (atomic_ctrl.env_abort = '1') or  -- fast termination (error)
1544
           (trap_ctrl.env_start = '1') then  -- triggered trap -> failure
1545 39 zero_gravi
          atomic_ctrl.lock <= '0';
1546
        elsif (atomic_ctrl.env_start = '1') then
1547
          atomic_ctrl.lock <= '1';
1548
        end if;
1549
        atomic_ctrl.env_end_ff <= atomic_ctrl.env_end;
1550
      else
1551
        atomic_ctrl.lock       <= '0';
1552
        atomic_ctrl.env_end_ff <= '0';
1553
      end if;
1554
    end if;
1555
  end process atomics_controller;
1556 6 zero_gravi
 
1557 2 zero_gravi
 
1558 6 zero_gravi
-- ****************************************************************************************************************************
1559
-- Control and Status Registers (CSRs)
1560
-- ****************************************************************************************************************************
1561 2 zero_gravi
 
1562 27 zero_gravi
  -- Control and Status Registers Write Data ------------------------------------------------
1563
  -- -------------------------------------------------------------------------------------------
1564 36 zero_gravi
  csr_write_data: process(execute_engine.i_reg, csr.rdata, rs1_i)
1565
    variable csr_operand_v : std_ulogic_vector(data_width_c-1 downto 0);
1566 27 zero_gravi
  begin
1567 36 zero_gravi
    -- CSR operand source --
1568
    if (execute_engine.i_reg(instr_funct3_msb_c) = '1') then -- immediate
1569
      csr_operand_v := (others => '0');
1570 38 zero_gravi
      csr_operand_v(4 downto 0) := execute_engine.i_reg(19 downto 15); -- uimm5
1571 36 zero_gravi
    else -- register
1572
      csr_operand_v := rs1_i;
1573
    end if;
1574 40 zero_gravi
    -- tiny ALU for CSR write operations --
1575 27 zero_gravi
    case execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c) is
1576 36 zero_gravi
      when "10"   => csr.wdata <= csr.rdata or csr_operand_v; -- CSRRS(I)
1577
      when "11"   => csr.wdata <= csr.rdata and (not csr_operand_v); -- CSRRC(I)
1578
      when others => csr.wdata <= csr_operand_v; -- CSRRW(I)
1579 27 zero_gravi
    end case;
1580
  end process csr_write_data;
1581
 
1582
 
1583 2 zero_gravi
  -- Control and Status Registers Write Access ----------------------------------------------
1584
  -- -------------------------------------------------------------------------------------------
1585
  csr_write_access: process(rstn_i, clk_i)
1586
  begin
1587
    if (rstn_i = '0') then
1588 40 zero_gravi
      csr.we           <= '0';
1589 11 zero_gravi
      --
1590 6 zero_gravi
      csr.mstatus_mie  <= '0';
1591
      csr.mstatus_mpie <= '0';
1592 29 zero_gravi
      csr.mstatus_mpp  <= priv_mode_m_c; -- start in MACHINE mode
1593
      csr.privilege    <= priv_mode_m_c; -- start in MACHINE mode
1594 6 zero_gravi
      csr.mie_msie     <= '0';
1595
      csr.mie_meie     <= '0';
1596
      csr.mie_mtie     <= '0';
1597 14 zero_gravi
      csr.mie_firqe    <= (others => '0');
1598 6 zero_gravi
      csr.mtvec        <= (others => '0');
1599 36 zero_gravi
      csr.mscratch     <= x"19880704"; -- :)
1600 12 zero_gravi
      csr.mepc         <= (others => '0');
1601 40 zero_gravi
      -- mcause = TRAP_CODE_RESET (hardware reset, 0x80000000)
1602
      csr.mcause                               <= (others => '0');
1603
      csr.mcause(csr.mcause'left)              <= trap_reset_c(trap_reset_c'left);
1604
      csr.mcause(trap_reset_c'left-1 downto 0) <= trap_reset_c(trap_reset_c'left-1 downto 0);
1605
      --
1606 41 zero_gravi
      csr.mtval     <= (others => '0');
1607
      csr.mip_clear <= (others => '0');
1608
      csr.pmpcfg    <= (others => (others => '0'));
1609
      csr.pmpaddr   <= (others => (others => '1'));
1610 34 zero_gravi
      --
1611 41 zero_gravi
      csr.mcounteren_cy    <= '0';
1612
      csr.mcounteren_tm    <= '0';
1613
      csr.mcounteren_ir    <= '0';
1614
      csr.mcountinhibit_cy <= '0';
1615
      csr.mcountinhibit_ir <= '0';
1616
      --
1617
      csr.mcycle    <= (others => '0');
1618
      csr.minstret  <= (others => '0');
1619
      csr.mcycleh   <= (others => '0');
1620
      csr.minstreth <= (others => '0');
1621
      mcycle_msb    <= '0';
1622
      minstret_msb  <= '0';
1623 2 zero_gravi
    elsif rising_edge(clk_i) then
1624 29 zero_gravi
      -- write access? --
1625
      csr.we <= csr.we_nxt;
1626 36 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1627 4 zero_gravi
 
1628 40 zero_gravi
        -- defaults --
1629
        csr.mip_clear <= (others => '0');
1630
 
1631 36 zero_gravi
        -- --------------------------------------------------------------------------------
1632
        -- CSR access by application software
1633
        -- --------------------------------------------------------------------------------
1634
        if (csr.we = '1') then -- manual update
1635 41 zero_gravi
          case csr.addr is
1636 36 zero_gravi
 
1637
            -- machine trap setup --
1638
            -- --------------------------------------------------------------------
1639
            when csr_mstatus_c => -- R/W: mstatus - machine status register
1640
              csr.mstatus_mie  <= csr.wdata(03);
1641
              csr.mstatus_mpie <= csr.wdata(07);
1642
              if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
1643
                csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12);
1644
                csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12);
1645 40 zero_gravi
              else -- only machine mode is available
1646
                csr.mstatus_mpp <= priv_mode_m_c;
1647 36 zero_gravi
              end if;
1648 41 zero_gravi
            when csr_mie_c => -- R/W: mie - machine interrupt enable register
1649 29 zero_gravi
              csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable
1650
              csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable
1651
              csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable
1652
              --
1653
              csr.mie_firqe(0) <= csr.wdata(16); -- fast interrupt channel 0
1654
              csr.mie_firqe(1) <= csr.wdata(17); -- fast interrupt channel 1
1655
              csr.mie_firqe(2) <= csr.wdata(18); -- fast interrupt channel 2
1656
              csr.mie_firqe(3) <= csr.wdata(19); -- fast interrupt channel 3
1657 36 zero_gravi
            when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1658 29 zero_gravi
              csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1659 41 zero_gravi
            when csr_mcounteren_c => -- R/W: machine counter enable register
1660
              csr.mcounteren_cy <= csr.wdata(0); -- enable user-level access to cycle[h]
1661
              csr.mcounteren_tm <= csr.wdata(1); -- enable user-level access to time[h]
1662
              csr.mcounteren_ir <= csr.wdata(2); -- enable user-level access to instret[h]
1663 29 zero_gravi
 
1664 36 zero_gravi
            -- machine trap handling --
1665
            -- --------------------------------------------------------------------
1666
            when csr_mscratch_c =>  -- R/W: mscratch - machine scratch register
1667
              csr.mscratch <= csr.wdata;
1668
            when csr_mepc_c => -- R/W: mepc - machine exception program counter
1669
              csr.mepc <= csr.wdata(data_width_c-1 downto 1) & '0';
1670
            when csr_mcause_c => -- R/W: mcause - machine trap cause
1671
              csr.mcause <= (others => '0');
1672
              csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: interrupt, 0: exception
1673
              csr.mcause(4 downto 0)      <= csr.wdata(4 downto 0); -- identifier
1674 40 zero_gravi
            when csr_mtval_c => -- R/W: mtval - machine bad address/instruction
1675 36 zero_gravi
              csr.mtval <= csr.wdata;
1676 40 zero_gravi
            when csr_mip_c => -- R/W: mip - machine interrupt pending
1677
              csr.mip_clear(interrupt_msw_irq_c)   <= not csr.wdata(03);
1678
              csr.mip_clear(interrupt_mtime_irq_c) <= not csr.wdata(07);
1679
              csr.mip_clear(interrupt_mext_irq_c)  <= not csr.wdata(11);
1680
              --
1681 41 zero_gravi
              csr.mip_clear(interrupt_firq_0_c) <= not csr.wdata(16);
1682
              csr.mip_clear(interrupt_firq_1_c) <= not csr.wdata(17);
1683
              csr.mip_clear(interrupt_firq_2_c) <= not csr.wdata(18);
1684
              csr.mip_clear(interrupt_firq_3_c) <= not csr.wdata(19);
1685 29 zero_gravi
 
1686 36 zero_gravi
            -- physical memory protection - configuration --
1687
            -- --------------------------------------------------------------------
1688
            when csr_pmpcfg0_c => -- R/W: pmpcfg0 - PMP configuration register 0
1689 40 zero_gravi
              if (PMP_USE = true) and (pmp_num_regions_c >= 1) then
1690 36 zero_gravi
                for j in 0 to 3 loop -- bytes in pmpcfg CSR
1691 40 zero_gravi
                  if ((j+1) <= pmp_num_regions_c) then
1692 36 zero_gravi
                    if (csr.pmpcfg(0+j)(7) = '0') then -- unlocked pmpcfg access
1693
                      csr.pmpcfg(0+j)(0) <= csr.wdata(j*8+0); -- R (rights.read)
1694
                      csr.pmpcfg(0+j)(1) <= csr.wdata(j*8+1); -- W (rights.write)
1695
                      csr.pmpcfg(0+j)(2) <= csr.wdata(j*8+2); -- X (rights.execute)
1696
                      csr.pmpcfg(0+j)(3) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_L
1697
                      csr.pmpcfg(0+j)(4) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_H - NAPOT/OFF only
1698
                      csr.pmpcfg(0+j)(5) <= '0'; -- reserved
1699
                      csr.pmpcfg(0+j)(6) <= '0'; -- reserved
1700
                      csr.pmpcfg(0+j)(7) <= csr.wdata(j*8+7); -- L (locked / rights also enforced in m-mode)
1701 29 zero_gravi
                    end if;
1702 36 zero_gravi
                  end if;
1703
                end loop; -- j (bytes in CSR)
1704 29 zero_gravi
              end if;
1705 36 zero_gravi
            when csr_pmpcfg1_c => -- R/W: pmpcfg1 - PMP configuration register 1
1706 40 zero_gravi
              if (PMP_USE = true) and (pmp_num_regions_c >= 5) then
1707 36 zero_gravi
                for j in 0 to 3 loop -- bytes in pmpcfg CSR
1708 40 zero_gravi
                  if ((j+1+4) <= pmp_num_regions_c) then
1709 36 zero_gravi
                    if (csr.pmpcfg(4+j)(7) = '0') then -- unlocked pmpcfg access
1710
                      csr.pmpcfg(4+j)(0) <= csr.wdata(j*8+0); -- R (rights.read)
1711
                      csr.pmpcfg(4+j)(1) <= csr.wdata(j*8+1); -- W (rights.write)
1712
                      csr.pmpcfg(4+j)(2) <= csr.wdata(j*8+2); -- X (rights.execute)
1713
                      csr.pmpcfg(4+j)(3) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_L
1714
                      csr.pmpcfg(4+j)(4) <= csr.wdata(j*8+3) and csr.wdata(j*8+4); -- A_H - NAPOT/OFF only
1715
                      csr.pmpcfg(4+j)(5) <= '0'; -- reserved
1716
                      csr.pmpcfg(4+j)(6) <= '0'; -- reserved
1717
                      csr.pmpcfg(4+j)(7) <= csr.wdata(j*8+7); -- L (locked / rights also enforced in m-mode)
1718 29 zero_gravi
                    end if;
1719 36 zero_gravi
                  end if;
1720
                end loop; -- j (bytes in CSR)
1721 15 zero_gravi
              end if;
1722 4 zero_gravi
 
1723 36 zero_gravi
            -- physical memory protection - addresses --
1724
            -- --------------------------------------------------------------------
1725
            when csr_pmpaddr0_c | csr_pmpaddr1_c | csr_pmpaddr2_c | csr_pmpaddr3_c |
1726
                 csr_pmpaddr4_c | csr_pmpaddr5_c | csr_pmpaddr6_c | csr_pmpaddr7_c => -- R/W: pmpaddr0..7 - PMP address register 0..7
1727
              if (PMP_USE = true) then
1728 40 zero_gravi
                for i in 0 to pmp_num_regions_c-1 loop
1729 41 zero_gravi
                  if (csr.addr(2 downto 0) = std_ulogic_vector(to_unsigned(i, 3))) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
1730 40 zero_gravi
                    csr.pmpaddr(i) <= csr.wdata;
1731
                    csr.pmpaddr(i)(index_size_f(pmp_min_granularity_c)-4 downto 0) <= (others => '1');
1732 36 zero_gravi
                  end if;
1733
                end loop; -- i (CSRs)
1734
              end if;
1735 2 zero_gravi
 
1736 41 zero_gravi
            -- machine counter setup --
1737
            -- --------------------------------------------------------------------
1738
            when csr_mcountinhibit_c => -- R/W: mcountinhibit - machine counter-inhibit register
1739
              csr.mcountinhibit_cy <= csr.wdata(0); -- enable auto-increment of [m]cycle[h] counter
1740
              csr.mcountinhibit_ir <= csr.wdata(2); -- enable auto-increment of [m]instret[h] counter
1741
 
1742 36 zero_gravi
            -- undefined --
1743
            -- --------------------------------------------------------------------
1744
            when others =>
1745
              NULL;
1746 29 zero_gravi
 
1747 36 zero_gravi
          end case;
1748 29 zero_gravi
 
1749 36 zero_gravi
        -- --------------------------------------------------------------------------------
1750
        -- CSR access by hardware
1751
        -- --------------------------------------------------------------------------------
1752
        else
1753
 
1754 40 zero_gravi
          -- mcause, mepc, mtval: machine trap cause, PC and value register --
1755 36 zero_gravi
          -- --------------------------------------------------------------------
1756
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
1757 40 zero_gravi
            -- trap cause ID code --
1758
            csr.mcause <= (others => '0');
1759
            csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception
1760
            csr.mcause(4 downto 0)      <= trap_ctrl.cause(4 downto 0); -- identifier
1761
            -- trap PC --
1762 36 zero_gravi
            if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS
1763
              csr.mepc  <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
1764 40 zero_gravi
            else -- for EXCEPTIONS
1765 36 zero_gravi
              csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
1766 40 zero_gravi
            end if;
1767
            -- trap value --
1768
            case trap_ctrl.cause is
1769
              when trap_ima_c | trap_iba_c => -- misaligned instruction address OR instruction access error
1770 36 zero_gravi
                csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
1771 40 zero_gravi
              when trap_brk_c => -- breakpoint
1772
                csr.mtval <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- address of breakpoint instruction
1773
              when trap_lma_c | trap_lbe_c | trap_sma_c | trap_sbe_c => -- misaligned load/store address OR load/store access error
1774
                csr.mtval <= mar_i; -- faulting data access address
1775
              when trap_iil_c => -- illegal instruction
1776 36 zero_gravi
                csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself
1777 40 zero_gravi
              when others => -- everything else including interrupts
1778
                csr.mtval <= (others => '0');
1779
            end case;
1780 2 zero_gravi
          end if;
1781
 
1782 36 zero_gravi
          -- mstatus: context switch --
1783
          -- --------------------------------------------------------------------
1784
          if (trap_ctrl.env_start_ack = '1') then -- ENTER: trap handler starting?
1785
            csr.mstatus_mie  <= '0'; -- disable interrupts
1786
            csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
1787
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1788
              csr.privilege   <= priv_mode_m_c; -- execute trap in machine mode
1789
              csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode
1790 2 zero_gravi
            end if;
1791 36 zero_gravi
          elsif (trap_ctrl.env_end = '1') then -- EXIT: return from exception
1792
            csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
1793
            csr.mstatus_mpie <= '1';
1794
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
1795
              csr.privilege   <= csr.mstatus_mpp; -- go back to previous privilege mode
1796 40 zero_gravi
              csr.mstatus_mpp <= priv_mode_m_c;
1797 30 zero_gravi
            end if;
1798 2 zero_gravi
          end if;
1799 36 zero_gravi
          -- user mode NOT implemented --
1800
          if (CPU_EXTENSION_RISCV_U = false) then
1801
            csr.privilege   <= priv_mode_m_c;
1802
            csr.mstatus_mpp <= priv_mode_m_c;
1803 15 zero_gravi
          end if;
1804 29 zero_gravi
 
1805 36 zero_gravi
        end if; -- hardware csr access
1806 29 zero_gravi
 
1807 34 zero_gravi
      -- --------------------------------------------------------------------------------
1808 41 zero_gravi
      -- Counter CSRs (each counter is split in two 32-bit counters)
1809 34 zero_gravi
      -- --------------------------------------------------------------------------------
1810 41 zero_gravi
        -- [m]cycle --
1811
        if (csr.we = '1') and (csr.addr = csr_mcycle_c) then -- write access
1812
          csr.mcycle <= '0' & csr.wdata;
1813
          mcycle_msb <= '0';
1814
        elsif (csr.mcountinhibit_cy = '0') and (execute_engine.sleep = '0') then -- non-inhibited automatic update (if CPU is not in sleep mode)
1815
          csr.mcycle <= std_ulogic_vector(unsigned(csr.mcycle) + 1);
1816
          mcycle_msb <= csr.mcycle(csr.mcycle'left);
1817
        end if;
1818 34 zero_gravi
 
1819 41 zero_gravi
        -- [m]cycleh --
1820
        if (csr.we = '1') and (csr.addr = csr_mcycleh_c) then -- write access
1821
          csr.mcycleh <= csr.wdata;
1822
        elsif ((mcycle_msb xor csr.mcycle(csr.mcycle'left)) = '1') then -- automatic update (continued)
1823
          csr.mcycleh <= std_ulogic_vector(unsigned(csr.mcycleh) + 1);
1824
        end if;
1825 34 zero_gravi
 
1826 41 zero_gravi
        -- [m]instret --
1827
        if (csr.we = '1') and (csr.addr = csr_minstret_c) then -- write access
1828
          csr.minstret <= '0' & csr.wdata;
1829
          minstret_msb <= '0';
1830
        elsif (csr.mcountinhibit_ir = '0') and (execute_engine.state = EXECUTE) then -- non-inhibited automatic update (if CPU actually executes an instruction)
1831
          csr.minstret <= std_ulogic_vector(unsigned(csr.minstret) + 1);
1832
          minstret_msb <= csr.minstret(csr.minstret'left);
1833
        end if;
1834 34 zero_gravi
 
1835 41 zero_gravi
        -- [m]instreth --
1836
        if (csr.we = '1') and (csr.addr = csr_minstreth_c) then -- write access
1837
          csr.minstreth <= csr.wdata;
1838
        elsif ((minstret_msb xor csr.minstret(csr.minstret'left)) = '1') then -- automatic update (continued)
1839
          csr.minstreth <= std_ulogic_vector(unsigned(csr.minstreth) + 1);
1840 34 zero_gravi
        end if;
1841
 
1842
      end if;
1843 2 zero_gravi
    end if;
1844
  end process csr_write_access;
1845
 
1846 40 zero_gravi
  -- decode privilege mode --
1847
  csr.priv_m_mode <= '1' when (csr.privilege = priv_mode_m_c)  or (CPU_EXTENSION_RISCV_U = false) else '0';
1848
  csr.priv_u_mode <= '1' when (csr.privilege = priv_mode_u_c) and (CPU_EXTENSION_RISCV_U = true)  else '0';
1849
 
1850 36 zero_gravi
  -- PMP configuration output to bus unit --
1851 34 zero_gravi
  pmp_output: process(csr)
1852
  begin
1853
    pmp_addr_o <= (others => (others => '0'));
1854
    pmp_ctrl_o <= (others => (others => '0'));
1855
    if (PMP_USE = true) then
1856 40 zero_gravi
      for i in 0 to pmp_num_regions_c-1 loop
1857
        pmp_addr_o(i) <= csr.pmpaddr(i) & "11";
1858
        pmp_addr_o(i)(index_size_f(pmp_min_granularity_c)-4 downto 0) <= (others => '1');
1859 34 zero_gravi
        pmp_ctrl_o(i) <= csr.pmpcfg(i);
1860
      end loop; -- i
1861
    end if;
1862
  end process pmp_output;
1863
 
1864
 
1865 2 zero_gravi
  -- Control and Status Registers Read Access -----------------------------------------------
1866
  -- -------------------------------------------------------------------------------------------
1867
  csr_read_access: process(clk_i)
1868
  begin
1869
    if rising_edge(clk_i) then
1870 29 zero_gravi
      csr.re    <= csr.re_nxt; -- read access?
1871 35 zero_gravi
      csr.rdata <= (others => '0'); -- default output
1872 11 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.re = '1') then
1873 41 zero_gravi
        case csr.addr is
1874 11 zero_gravi
 
1875
          -- machine trap setup --
1876 29 zero_gravi
          when csr_mstatus_c => -- R/W: mstatus - machine status register
1877 41 zero_gravi
            csr.rdata(03) <= csr.mstatus_mie; -- MIE
1878
            csr.rdata(06) <= '1' and bool_to_ulogic_f(CPU_EXTENSION_RISCV_U); -- UBE: CPU/Processor is BIG-ENDIAN (in user-mode)
1879 27 zero_gravi
            csr.rdata(07) <= csr.mstatus_mpie; -- MPIE
1880 29 zero_gravi
            csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low
1881
            csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high
1882 40 zero_gravi
          when csr_mstatush_c => -- R/-: mstatush - machine status register - high part
1883 41 zero_gravi
            csr.rdata(05) <= '1'; -- MBE: CPU/Processor is BIG-ENDIAN (in machine-mode)
1884 29 zero_gravi
          when csr_misa_c => -- R/-: misa - ISA and extensions
1885 39 zero_gravi
            csr.rdata(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A);     -- A CPU extension
1886 27 zero_gravi
            csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
1887
            csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
1888
            csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
1889
            csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
1890
            csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
1891
            csr.rdata(23) <= '1';                                         -- X CPU extension (non-std extensions)
1892
            csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo)
1893
            csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi)
1894 29 zero_gravi
          when csr_mie_c => -- R/W: mie - machine interrupt-enable register
1895 27 zero_gravi
            csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable
1896
            csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable
1897
            csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable
1898 14 zero_gravi
            --
1899 27 zero_gravi
            csr.rdata(16) <= csr.mie_firqe(0); -- fast interrupt channel 0
1900
            csr.rdata(17) <= csr.mie_firqe(1); -- fast interrupt channel 1
1901
            csr.rdata(18) <= csr.mie_firqe(2); -- fast interrupt channel 2
1902
            csr.rdata(19) <= csr.mie_firqe(3); -- fast interrupt channel 3
1903 29 zero_gravi
          when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1904 27 zero_gravi
            csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1905 41 zero_gravi
          when csr_mcounteren_c => -- R/W: machine counter enable register
1906
            csr.rdata(0) <= csr.mcounteren_cy; -- enable user-level access to cycle[h]
1907
            csr.rdata(1) <= csr.mcounteren_tm; -- enable user-level access to time[h]
1908
            csr.rdata(2) <= csr.mcounteren_ir; -- enable user-level access to instret[h]
1909 11 zero_gravi
 
1910
          -- machine trap handling --
1911 29 zero_gravi
          when csr_mscratch_c => -- R/W: mscratch - machine scratch register
1912 27 zero_gravi
            csr.rdata <= csr.mscratch;
1913 29 zero_gravi
          when csr_mepc_c => -- R/W: mepc - machine exception program counter
1914 27 zero_gravi
            csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0';
1915 35 zero_gravi
          when csr_mcause_c => -- R/W: mcause - machine trap cause
1916 27 zero_gravi
            csr.rdata <= csr.mcause;
1917 29 zero_gravi
          when csr_mtval_c => -- R/W: mtval - machine bad address or instruction
1918 27 zero_gravi
            csr.rdata <= csr.mtval;
1919 29 zero_gravi
          when csr_mip_c => -- R/W: mip - machine interrupt pending
1920 40 zero_gravi
            csr.rdata(03) <= csr.mip_status(interrupt_msw_irq_c);
1921
            csr.rdata(07) <= csr.mip_status(interrupt_mtime_irq_c);
1922
            csr.rdata(11) <= csr.mip_status(interrupt_mext_irq_c);
1923 14 zero_gravi
            --
1924 40 zero_gravi
            csr.rdata(16) <= csr.mip_status(interrupt_firq_0_c);
1925
            csr.rdata(17) <= csr.mip_status(interrupt_firq_1_c);
1926
            csr.rdata(18) <= csr.mip_status(interrupt_firq_2_c);
1927
            csr.rdata(19) <= csr.mip_status(interrupt_firq_3_c);
1928 11 zero_gravi
 
1929 37 zero_gravi
          -- physical memory protection - configuration --
1930 29 zero_gravi
          when csr_pmpcfg0_c => -- R/W: pmpcfg0 - physical memory protection configuration register 0
1931 15 zero_gravi
            if (PMP_USE = true) then
1932 40 zero_gravi
              if (pmp_num_regions_c >= 1) then
1933 27 zero_gravi
                csr.rdata(07 downto 00) <= csr.pmpcfg(0);
1934 15 zero_gravi
              end if;
1935 40 zero_gravi
              if (pmp_num_regions_c >= 2) then
1936 27 zero_gravi
                csr.rdata(15 downto 08) <= csr.pmpcfg(1);
1937 15 zero_gravi
              end if;
1938 40 zero_gravi
              if (pmp_num_regions_c >= 3) then
1939 27 zero_gravi
                csr.rdata(23 downto 16) <= csr.pmpcfg(2);
1940 15 zero_gravi
              end if;
1941 40 zero_gravi
              if (pmp_num_regions_c >= 4) then
1942 27 zero_gravi
                csr.rdata(31 downto 24) <= csr.pmpcfg(3);
1943 15 zero_gravi
              end if;
1944
            end if;
1945 29 zero_gravi
          when csr_pmpcfg1_c => -- R/W: pmpcfg1 - physical memory protection configuration register 1
1946 15 zero_gravi
            if (PMP_USE = true) then
1947 40 zero_gravi
              if (pmp_num_regions_c >= 5) then
1948 27 zero_gravi
                csr.rdata(07 downto 00) <= csr.pmpcfg(4);
1949 15 zero_gravi
              end if;
1950 40 zero_gravi
              if (pmp_num_regions_c >= 6) then
1951 27 zero_gravi
                csr.rdata(15 downto 08) <= csr.pmpcfg(5);
1952 15 zero_gravi
              end if;
1953 40 zero_gravi
              if (pmp_num_regions_c >= 7) then
1954 27 zero_gravi
                csr.rdata(23 downto 16) <= csr.pmpcfg(6);
1955 15 zero_gravi
              end if;
1956 40 zero_gravi
              if (pmp_num_regions_c >= 8) then
1957 27 zero_gravi
                csr.rdata(31 downto 24) <= csr.pmpcfg(7);
1958 15 zero_gravi
              end if;
1959
            end if;
1960
 
1961 37 zero_gravi
          -- physical memory protection - addresses --
1962 29 zero_gravi
          when csr_pmpaddr0_c => -- R/W: pmpaddr0 - physical memory protection address register 0
1963 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 1) then
1964 27 zero_gravi
              csr.rdata <= csr.pmpaddr(0);
1965 15 zero_gravi
              if (csr.pmpcfg(0)(4 downto 3) = "00") then -- mode = off
1966 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1967 15 zero_gravi
              end if;
1968
            end if;
1969 29 zero_gravi
          when csr_pmpaddr1_c => -- R/W: pmpaddr1 - physical memory protection address register 1
1970 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 2) then
1971 27 zero_gravi
              csr.rdata <= csr.pmpaddr(1);
1972 15 zero_gravi
              if (csr.pmpcfg(1)(4 downto 3) = "00") then -- mode = off
1973 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1974 15 zero_gravi
              end if;
1975
            end if;
1976 29 zero_gravi
          when csr_pmpaddr2_c => -- R/W: pmpaddr2 - physical memory protection address register 2
1977 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 3) then
1978 27 zero_gravi
              csr.rdata <= csr.pmpaddr(2);
1979 15 zero_gravi
              if (csr.pmpcfg(2)(4 downto 3) = "00") then -- mode = off
1980 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1981 15 zero_gravi
              end if;
1982
            end if;
1983 29 zero_gravi
          when csr_pmpaddr3_c => -- R/W: pmpaddr3 - physical memory protection address register 3
1984 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 4) then
1985 27 zero_gravi
              csr.rdata <= csr.pmpaddr(3);
1986 15 zero_gravi
              if (csr.pmpcfg(3)(4 downto 3) = "00") then -- mode = off
1987 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1988 15 zero_gravi
              end if;
1989
            end if;
1990 29 zero_gravi
          when csr_pmpaddr4_c => -- R/W: pmpaddr4 - physical memory protection address register 4
1991 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 5) then
1992 27 zero_gravi
              csr.rdata <= csr.pmpaddr(4);
1993 15 zero_gravi
              if (csr.pmpcfg(4)(4 downto 3) = "00") then -- mode = off
1994 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
1995 15 zero_gravi
              end if;
1996
            end if;
1997 29 zero_gravi
          when csr_pmpaddr5_c => -- R/W: pmpaddr5 - physical memory protection address register 5
1998 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 6) then
1999 27 zero_gravi
              csr.rdata <= csr.pmpaddr(5);
2000 15 zero_gravi
              if (csr.pmpcfg(5)(4 downto 3) = "00") then -- mode = off
2001 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
2002 15 zero_gravi
              end if;
2003
            end if;
2004 29 zero_gravi
          when csr_pmpaddr6_c => -- R/W: pmpaddr6 - physical memory protection address register 6
2005 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 7) then
2006 27 zero_gravi
              csr.rdata <= csr.pmpaddr(6);
2007 15 zero_gravi
              if (csr.pmpcfg(6)(4 downto 3) = "00") then -- mode = off
2008 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
2009 15 zero_gravi
              end if;
2010
            end if;
2011 29 zero_gravi
          when csr_pmpaddr7_c => -- R/W: pmpaddr7 - physical memory protection address register 7
2012 40 zero_gravi
            if (PMP_USE = true) and (pmp_num_regions_c >= 8) then
2013 27 zero_gravi
              csr.rdata <= csr.pmpaddr(7);
2014 15 zero_gravi
              if (csr.pmpcfg(7)(4 downto 3) = "00") then -- mode = off
2015 40 zero_gravi
                csr.rdata(index_size_f(pmp_min_granularity_c)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
2016 15 zero_gravi
              end if;
2017
            end if;
2018
 
2019 41 zero_gravi
          -- machine counter setup --
2020
          -- --------------------------------------------------------------------
2021
          when csr_mcountinhibit_c => -- R/W: mcountinhibit - machine counter-inhibit register
2022
            csr.rdata(0) <= csr.mcountinhibit_cy; -- enable auto-increment of [m]cycle[h] counter
2023
            csr.rdata(2) <= csr.mcountinhibit_ir; -- enable auto-increment of [m]instret[h] counter
2024
 
2025 29 zero_gravi
          -- counters and timers --
2026
          when csr_cycle_c | csr_mcycle_c => -- R/(W): [m]cycle: Cycle counter LOW
2027 27 zero_gravi
            csr.rdata <= csr.mcycle(31 downto 0);
2028 29 zero_gravi
          when csr_time_c => -- R/-: time: System time LOW (from MTIME unit)
2029 27 zero_gravi
            csr.rdata <= time_i(31 downto 0);
2030 29 zero_gravi
          when csr_instret_c | csr_minstret_c => -- R/(W): [m]instret: Instructions-retired counter LOW
2031 27 zero_gravi
            csr.rdata <= csr.minstret(31 downto 0);
2032 29 zero_gravi
          when csr_cycleh_c | csr_mcycleh_c => -- R/(W): [m]cycleh: Cycle counter HIGH
2033 27 zero_gravi
            csr.rdata <= csr.mcycleh(31 downto 0);
2034 29 zero_gravi
          when csr_timeh_c => -- R/-: timeh: System time HIGH (from MTIME unit)
2035 27 zero_gravi
            csr.rdata <= time_i(63 downto 32);
2036 29 zero_gravi
          when csr_instreth_c | csr_minstreth_c => -- R/(W): [m]instreth: Instructions-retired counter HIGH
2037 27 zero_gravi
            csr.rdata <= csr.minstreth(31 downto 0);
2038 11 zero_gravi
 
2039
          -- machine information registers --
2040 29 zero_gravi
          when csr_mvendorid_c => -- R/-: mvendorid - vendor ID
2041 27 zero_gravi
            csr.rdata <= (others => '0');
2042 34 zero_gravi
          when csr_marchid_c => -- R/-: marchid - arch ID
2043
            csr.rdata(4 downto 0) <= "10011"; -- official RISC-V open-source arch ID
2044 32 zero_gravi
          when csr_mimpid_c => -- R/-: mimpid - implementation ID
2045
            csr.rdata <= hw_version_c; -- NEORV32 hardware version
2046 29 zero_gravi
          when csr_mhartid_c => -- R/-: mhartid - hardware thread ID
2047 27 zero_gravi
            csr.rdata <= HW_THREAD_ID;
2048 11 zero_gravi
 
2049 22 zero_gravi
          -- custom machine read-only CSRs --
2050 39 zero_gravi
          when csr_mzext_c => -- R/-: mzext - available Z* extensions
2051 32 zero_gravi
            csr.rdata(0) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr);    -- RISC-V.Zicsr CPU extension
2052
            csr.rdata(1) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- RISC-V.Zifencei CPU extension
2053 33 zero_gravi
            csr.rdata(2) <= bool_to_ulogic_f(PMP_USE);                      -- RISC-V physical memory protection
2054 22 zero_gravi
 
2055 11 zero_gravi
          -- undefined/unavailable --
2056
          when others =>
2057 27 zero_gravi
            csr.rdata <= (others => '0'); -- not implemented
2058 11 zero_gravi
 
2059
        end case;
2060 2 zero_gravi
      end if;
2061
    end if;
2062
  end process csr_read_access;
2063
 
2064 27 zero_gravi
  -- CSR read data output --
2065
  csr_rdata_o <= csr.rdata;
2066
 
2067 12 zero_gravi
 
2068 2 zero_gravi
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.