OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 47

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Control >>                                                                   #
3
-- # ********************************************************************************************* #
4 31 zero_gravi
-- # CPU operation is split into a fetch engine (responsible for fetching instruction data), an    #
5
-- # issue engine (for recoding compressed instructions and for constructing 32-bit instruction    #
6
-- # words) and an execute engine (responsible for actually executing the instructions), a trap    #
7 44 zero_gravi
-- # handling controller and the RISC-V status and control register set (CSRs) including the       #
8
-- # hardware performance monitor counters.                                                        #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_cpu_control is
49
  generic (
50
    -- General --
51 12 zero_gravi
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
52
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
53 2 zero_gravi
    -- RISC-V CPU Extensions --
54 39 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
55 44 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean := false; -- implement bit manipulation extensions?
56 12 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
57
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
58
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
59 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
60 12 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
61 15 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
62
    -- Physical memory protection (PMP) --
63 42 zero_gravi
    PMP_NUM_REGIONS              : natural := 0;       -- number of regions (0..64)
64
    PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
65
    -- Hardware Performance Monitors (HPM) --
66 47 zero_gravi
    HPM_NUM_CNTS                 : natural := 0      -- number of implemented HPM counters (0..29)
67 2 zero_gravi
  );
68
  port (
69
    -- global control --
70
    clk_i         : in  std_ulogic; -- global clock, rising edge
71
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
72
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
73
    -- status input --
74
    alu_wait_i    : in  std_ulogic; -- wait for ALU
75 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
76
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
77 2 zero_gravi
    -- data input --
78
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
79
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
80 36 zero_gravi
    alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
81
    rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
82 2 zero_gravi
    -- data output --
83
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
84 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
85
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
86 2 zero_gravi
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
87 14 zero_gravi
    -- interrupts (risc-v compliant) --
88
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
89
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
90 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
91 14 zero_gravi
    -- fast interrupts (custom) --
92 47 zero_gravi
    firq_i        : in  std_ulogic_vector(7 downto 0);
93
    firq_ack_o    : out std_ulogic_vector(7 downto 0);
94 11 zero_gravi
    -- system time input from MTIME --
95
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
96 15 zero_gravi
    -- physical memory protection --
97 23 zero_gravi
    pmp_addr_o    : out pmp_addr_if_t; -- addresses
98
    pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
99 2 zero_gravi
    -- bus access exceptions --
100
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0);  -- memory address register
101
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
102
    ma_load_i     : in  std_ulogic; -- misaligned load data address
103
    ma_store_i    : in  std_ulogic; -- misaligned store data address
104
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
105
    be_load_i     : in  std_ulogic; -- bus error on load data access
106 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
107 2 zero_gravi
  );
108
end neorv32_cpu_control;
109
 
110
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
111
 
112 6 zero_gravi
  -- instruction fetch enginge --
113 31 zero_gravi
  type fetch_engine_state_t is (IFETCH_RESET, IFETCH_REQUEST, IFETCH_ISSUE);
114 6 zero_gravi
  type fetch_engine_t is record
115 31 zero_gravi
    state       : fetch_engine_state_t;
116
    state_nxt   : fetch_engine_state_t;
117 42 zero_gravi
    state_prev  : fetch_engine_state_t;
118 31 zero_gravi
    pc          : std_ulogic_vector(data_width_c-1 downto 0);
119
    pc_nxt      : std_ulogic_vector(data_width_c-1 downto 0);
120
    reset       : std_ulogic;
121
    bus_err_ack : std_ulogic;
122 6 zero_gravi
  end record;
123
  signal fetch_engine : fetch_engine_t;
124 2 zero_gravi
 
125 32 zero_gravi
  -- instrucion prefetch buffer (IPB, real FIFO) --
126 31 zero_gravi
  type ipb_data_fifo_t is array (0 to ipb_entries_c-1) of std_ulogic_vector(2+31 downto 0);
127 6 zero_gravi
  type ipb_t is record
128 31 zero_gravi
    wdata : std_ulogic_vector(2+31 downto 0); -- write status (bus_error, align_error) + 32-bit instruction data
129
    we    : std_ulogic; -- trigger write
130
    free  : std_ulogic; -- free entry available?
131
    clear : std_ulogic; -- clear all entries
132 20 zero_gravi
    --
133 31 zero_gravi
    rdata : std_ulogic_vector(2+31 downto 0); -- read data: status (bus_error, align_error) + 32-bit instruction data
134
    re    : std_ulogic; -- read enable
135
    avail : std_ulogic; -- data available?
136 20 zero_gravi
    --
137 31 zero_gravi
    w_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- write pointer
138
    r_pnt : std_ulogic_vector(index_size_f(ipb_entries_c) downto 0); -- read pointer
139 34 zero_gravi
    match : std_ulogic;
140 31 zero_gravi
    empty : std_ulogic;
141
    full  : std_ulogic;
142 20 zero_gravi
    --
143 31 zero_gravi
    data  : ipb_data_fifo_t; -- fifo memory
144 6 zero_gravi
  end record;
145
  signal ipb : ipb_t;
146 2 zero_gravi
 
147 31 zero_gravi
  -- pre-decoder --
148
  signal ci_instr16 : std_ulogic_vector(15 downto 0);
149
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
150
  signal ci_illegal : std_ulogic;
151
 
152
  -- instruction issue enginge --
153
  type issue_engine_state_t is (ISSUE_ACTIVE, ISSUE_REALIGN);
154
  type issue_engine_t is record
155
    state     : issue_engine_state_t;
156
    state_nxt : issue_engine_state_t;
157
    align     : std_ulogic;
158
    align_nxt : std_ulogic;
159
    buf       : std_ulogic_vector(2+15 downto 0);
160
    buf_nxt   : std_ulogic_vector(2+15 downto 0);
161
  end record;
162
  signal issue_engine : issue_engine_t;
163
 
164 37 zero_gravi
  -- instruction issue interface --
165
  type cmd_issue_t is record
166
    data  : std_ulogic_vector(35 downto 0); -- 4-bit status + 32-bit instruction
167
    valid : std_ulogic; -- data word is valid when set
168 31 zero_gravi
  end record;
169 37 zero_gravi
  signal cmd_issue : cmd_issue_t;
170 31 zero_gravi
 
171 44 zero_gravi
  -- instruction decoding helper logic --
172
  type decode_aux_t is record
173
    alu_immediate   : std_ulogic;
174
    rs1_is_r0       : std_ulogic;
175
    is_atomic_lr    : std_ulogic;
176
    is_atomic_sc    : std_ulogic;
177
    is_bitmanip_imm : std_ulogic;
178
    is_bitmanip_reg : std_ulogic;
179
  end record;
180
  signal decode_aux : decode_aux_t;
181
 
182 6 zero_gravi
  -- instruction execution engine --
183 39 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP, EXECUTE, ALU_WAIT, BRANCH, FENCE_OP, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, SYS_ENV, CSR_ACCESS);
184 6 zero_gravi
  type execute_engine_t is record
185
    state        : execute_engine_state_t;
186
    state_nxt    : execute_engine_state_t;
187 42 zero_gravi
    state_prev   : execute_engine_state_t;
188 39 zero_gravi
    --
189 6 zero_gravi
    i_reg        : std_ulogic_vector(31 downto 0);
190
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
191 33 zero_gravi
    i_reg_last   : std_ulogic_vector(31 downto 0); -- last executed instruction
192 39 zero_gravi
    --
193 6 zero_gravi
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
194
    is_ci_nxt    : std_ulogic;
195 29 zero_gravi
    is_cp_op     : std_ulogic; -- current instruction is a co-processor operation
196
    is_cp_op_nxt : std_ulogic;
197 39 zero_gravi
    --
198 6 zero_gravi
    branch_taken : std_ulogic; -- branch condition fullfilled
199
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
200 39 zero_gravi
    pc_mux_sel   : std_ulogic_vector(1 downto 0); -- source select for PC update
201
    pc_we        : std_ulogic; -- PC update enabled
202 6 zero_gravi
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
203
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
204 39 zero_gravi
    --
205 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
206 39 zero_gravi
    sleep_nxt    : std_ulogic;
207 20 zero_gravi
    if_rst       : std_ulogic; -- instruction fetch was reset
208 39 zero_gravi
    if_rst_nxt   : std_ulogic;
209 6 zero_gravi
  end record;
210
  signal execute_engine : execute_engine_t;
211 2 zero_gravi
 
212 6 zero_gravi
  -- trap controller --
213
  type trap_ctrl_t is record
214
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
215
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
216
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
217 47 zero_gravi
    firq_sync     : std_ulogic_vector(7 downto 0);
218 6 zero_gravi
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
219
    exc_ack       : std_ulogic; -- acknowledge all exceptions
220
    irq_ack       : std_ulogic_vector(interrupt_width_c-1 downto 0); -- acknowledge specific interrupt
221
    irq_ack_nxt   : std_ulogic_vector(interrupt_width_c-1 downto 0);
222 40 zero_gravi
    cause         : std_ulogic_vector(5 downto 0); -- trap ID for mcause CSR
223 14 zero_gravi
    cause_nxt     : std_ulogic_vector(5 downto 0);
224 6 zero_gravi
    --
225
    env_start     : std_ulogic; -- start trap handler env
226
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
227
    env_end       : std_ulogic; -- end trap handler env
228
    --
229
    instr_be      : std_ulogic; -- instruction fetch bus error
230
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
231
    instr_il      : std_ulogic; -- illegal instruction
232
    env_call      : std_ulogic;
233
    break_point   : std_ulogic;
234
  end record;
235
  signal trap_ctrl : trap_ctrl_t;
236 39 zero_gravi
 
237
  -- atomic operations controller --
238
  type atomic_ctrl_t is record
239
    env_start  : std_ulogic; -- begin atomic operations
240
    env_end    : std_ulogic; -- end atomic operations
241
    env_end_ff : std_ulogic; -- end atomic operations dealyed
242
    env_abort  : std_ulogic; -- atomic operations abort (results in failure)
243
    lock       : std_ulogic; -- lock status
244
  end record;
245
  signal atomic_ctrl : atomic_ctrl_t;
246 6 zero_gravi
 
247 40 zero_gravi
  -- CPU main control bus --
248 6 zero_gravi
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
249 2 zero_gravi
 
250 40 zero_gravi
  -- fast instruction fetch access --
251 6 zero_gravi
  signal bus_fast_ir : std_ulogic;
252 2 zero_gravi
 
253 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
254 42 zero_gravi
  type pmp_ctrl_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(7 downto 0);
255
  type pmp_addr_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
256
  type pmp_ctrl_rd_t  is array (0 to 63) of std_ulogic_vector(7 downto 0);
257
  type pmp_addr_rd_t  is array (0 to 63) of std_ulogic_vector(data_width_c-1 downto 0);
258
  type mhpmevent_t    is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
259
  type mhpmcnt_t      is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(32 downto 0);
260
  type mhpmcnth_t     is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(31 downto 0);
261
  type mhpmevent_rd_t is array (0 to 29) of std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
262
  type mhpmcnt_rd_t   is array (0 to 29) of std_ulogic_vector(32 downto 0);
263
  type mhpmcnth_rd_t  is array (0 to 29) of std_ulogic_vector(31 downto 0);
264 6 zero_gravi
  type csr_t is record
265 42 zero_gravi
    addr              : std_ulogic_vector(11 downto 0); -- csr address
266
    we                : std_ulogic; -- csr write enable
267
    we_nxt            : std_ulogic;
268
    re                : std_ulogic; -- csr read enable
269
    re_nxt            : std_ulogic;
270
    wdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data
271
    rdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
272 29 zero_gravi
    --
273 42 zero_gravi
    mstatus_mie       : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
274
    mstatus_mpie      : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/W)
275
    mstatus_mpp       : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode
276 29 zero_gravi
    --
277 42 zero_gravi
    mie_msie          : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
278
    mie_meie          : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
279
    mie_mtie          : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W)
280 47 zero_gravi
    mie_firqe         : std_ulogic_vector(7 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
281 29 zero_gravi
    --
282 42 zero_gravi
    mcounteren_cy     : std_ulogic; -- mcounteren.cy: allow cycle[h] access from user-mode
283
    mcounteren_tm     : std_ulogic; -- mcounteren.tm: allow time[h] access from user-mode
284
    mcounteren_ir     : std_ulogic; -- mcounteren.ir: allow instret[h] access from user-mode
285
    mcounteren_hpm    : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0); -- mcounteren.hpmx: allow mhpmcounterx[h] access from user-mode
286 29 zero_gravi
    --
287 42 zero_gravi
    mcountinhibit_cy  : std_ulogic; -- mcounterinhibit.cy: enable auto-increment for [m]cycle[h]
288
    mcountinhibit_ir  : std_ulogic; -- mcounterinhibit.ir: enable auto-increment for [m]instret[h]
289
    mcountinhibit_hpm : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0); -- mcounterinhibit.hpm3: enable auto-increment for mhpmcounterx[h]
290 40 zero_gravi
    --
291 42 zero_gravi
    mip_status        : std_ulogic_vector(interrupt_width_c-1  downto 0); -- current buffered IRQs
292
    mip_clear         : std_ulogic_vector(interrupt_width_c-1  downto 0); -- set bits clear the according buffered IRQ
293 41 zero_gravi
    --
294 42 zero_gravi
    privilege         : std_ulogic_vector(1 downto 0); -- hart's current privilege mode
295
    priv_m_mode       : std_ulogic; -- CPU in M-mode
296
    priv_u_mode       : std_ulogic; -- CPU in u-mode
297 41 zero_gravi
    --
298 42 zero_gravi
    mepc              : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
299
    mcause            : std_ulogic_vector(data_width_c-1 downto 0); -- mcause: machine trap cause (R/W)
300
    mtvec             : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
301
    mtval             : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or isntruction (R/W)
302
    --
303
    mhpmevent         : mhpmevent_t; -- mhpmevent*: machine performance-monitoring event selector (R/W)
304
    mhpmevent_rd      : mhpmevent_rd_t; -- mhpmevent*: actual read data
305
    --
306
    mscratch          : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
307
    mcycle            : std_ulogic_vector(32 downto 0); -- mcycle (R/W), plus carry bit
308
    minstret          : std_ulogic_vector(32 downto 0); -- minstret (R/W), plus carry bit
309
    --
310
    mcycleh           : std_ulogic_vector(31 downto 0); -- mcycleh (R/W)
311
    minstreth         : std_ulogic_vector(31 downto 0); -- minstreth (R/W)
312
    --
313
    mhpmcounter       : mhpmcnt_t; -- mhpmcounter* (R/W), plus carry bit
314
    mhpmcounterh      : mhpmcnth_t; -- mhpmcounter*h (R/W)
315
    mhpmcounter_rd    : mhpmcnt_rd_t; -- mhpmcounter* (R/W): actual read data
316
    mhpmcounterh_rd   : mhpmcnth_rd_t; -- mhpmcounter*h (R/W): actual read data
317
    --
318
    pmpcfg            : pmp_ctrl_t; -- physical memory protection - configuration registers
319
    pmpcfg_rd         : pmp_ctrl_rd_t; -- physical memory protection - actual read data
320
    pmpaddr           : pmp_addr_t; -- physical memory protection - address registers
321
    pmpaddr_rd        : pmp_addr_rd_t; -- physical memory protection - actual read data
322 6 zero_gravi
  end record;
323
  signal csr : csr_t;
324 2 zero_gravi
 
325 42 zero_gravi
  -- counter low-to-high-word carry --
326
  signal mcycle_msb      : std_ulogic;
327
  signal minstret_msb    : std_ulogic;
328
  signal mhpmcounter_msb : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0);
329 2 zero_gravi
 
330 42 zero_gravi
  -- (hpm) counter events --
331
  signal cnt_event, cnt_event_nxt : std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
332
  signal hpmcnt_trigger           : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0);
333
 
334 6 zero_gravi
  -- illegal instruction check --
335 36 zero_gravi
  signal illegal_opcode_lsbs : std_ulogic; -- if opcode != rv32
336 2 zero_gravi
  signal illegal_instruction : std_ulogic;
337
  signal illegal_register    : std_ulogic; -- only for E-extension
338
  signal illegal_compressed  : std_ulogic; -- only fir C-extension
339
 
340 15 zero_gravi
  -- access (privilege) check --
341
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
342
 
343 2 zero_gravi
begin
344
 
345 6 zero_gravi
-- ****************************************************************************************************************************
346 31 zero_gravi
-- Instruction Fetch (always fetches aligned 32-bit chunks of data)
347 6 zero_gravi
-- ****************************************************************************************************************************
348
 
349
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
350
  -- -------------------------------------------------------------------------------------------
351 31 zero_gravi
  fetch_engine_fsm_sync: process(rstn_i, clk_i)
352 6 zero_gravi
  begin
353
    if (rstn_i = '0') then
354 42 zero_gravi
      fetch_engine.state      <= IFETCH_RESET;
355
      fetch_engine.state_prev <= IFETCH_RESET;
356
      fetch_engine.pc         <= (others => '0');
357 6 zero_gravi
    elsif rising_edge(clk_i) then
358
      if (fetch_engine.reset = '1') then
359
        fetch_engine.state <= IFETCH_RESET;
360
      else
361
        fetch_engine.state <= fetch_engine.state_nxt;
362
      end if;
363 42 zero_gravi
      fetch_engine.state_prev <= fetch_engine.state;
364
      fetch_engine.pc         <= fetch_engine.pc_nxt;
365 6 zero_gravi
    end if;
366
  end process fetch_engine_fsm_sync;
367
 
368 12 zero_gravi
  -- PC output --
369 31 zero_gravi
  fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0'; -- half-word aligned
370 6 zero_gravi
 
371 12 zero_gravi
 
372 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
373
  -- -------------------------------------------------------------------------------------------
374 31 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, execute_engine, ipb, instr_i, bus_i_wait_i, be_instr_i, ma_instr_i)
375 6 zero_gravi
  begin
376
    -- arbiter defaults --
377 31 zero_gravi
    bus_fast_ir              <= '0';
378
    fetch_engine.state_nxt   <= fetch_engine.state;
379
    fetch_engine.pc_nxt      <= fetch_engine.pc;
380
    fetch_engine.bus_err_ack <= '0';
381 6 zero_gravi
 
382
    -- instruction prefetch buffer interface --
383
    ipb.we    <= '0';
384 31 zero_gravi
    ipb.wdata <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store exception info and instruction word
385 6 zero_gravi
    ipb.clear <= '0';
386
 
387
    -- state machine --
388
    case fetch_engine.state is
389
 
390 31 zero_gravi
      when IFETCH_RESET => -- reset engine and prefetch buffer, get appilcation PC
391 6 zero_gravi
      -- ------------------------------------------------------------
392 31 zero_gravi
        fetch_engine.bus_err_ack <= '1'; -- acknowledge any instruction bus errors, the execute engine has to take care of them / terminate current transfer
393
        fetch_engine.pc_nxt      <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
394
        ipb.clear                <= '1'; -- clear prefetch buffer
395
        fetch_engine.state_nxt   <= IFETCH_REQUEST;
396 6 zero_gravi
 
397 31 zero_gravi
      when IFETCH_REQUEST => -- output current PC to bus system and request 32-bit (aligned!) instruction data
398 6 zero_gravi
      -- ------------------------------------------------------------
399 31 zero_gravi
        if (ipb.free = '1') then -- free entry in buffer?
400
          bus_fast_ir            <= '1'; -- fast instruction fetch request
401
          fetch_engine.state_nxt <= IFETCH_ISSUE;
402
        end if;
403 6 zero_gravi
 
404 31 zero_gravi
      when IFETCH_ISSUE => -- store instruction data to prefetch buffer
405 6 zero_gravi
      -- ------------------------------------------------------------
406 41 zero_gravi
        fetch_engine.bus_err_ack <= be_instr_i or ma_instr_i; -- ACK bus/alignment errors
407 12 zero_gravi
        if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
408 39 zero_gravi
          fetch_engine.pc_nxt    <= std_ulogic_vector(unsigned(fetch_engine.pc) + 4);
409
          ipb.we                 <= '1';
410
          fetch_engine.state_nxt <= IFETCH_REQUEST;
411 6 zero_gravi
        end if;
412 11 zero_gravi
 
413 6 zero_gravi
      when others => -- undefined
414
      -- ------------------------------------------------------------
415
        fetch_engine.state_nxt <= IFETCH_RESET;
416
 
417
    end case;
418
  end process fetch_engine_fsm_comb;
419
 
420
 
421
-- ****************************************************************************************************************************
422
-- Instruction Prefetch Buffer
423
-- ****************************************************************************************************************************
424
 
425
 
426 20 zero_gravi
  -- Instruction Prefetch Buffer (FIFO) -----------------------------------------------------
427 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
428 36 zero_gravi
  instr_prefetch_buffer: process(clk_i)
429 6 zero_gravi
  begin
430 36 zero_gravi
    if rising_edge(clk_i) then
431 20 zero_gravi
      -- write port --
432 6 zero_gravi
      if (ipb.clear = '1') then
433 20 zero_gravi
        ipb.w_pnt <= (others => '0');
434 6 zero_gravi
      elsif (ipb.we = '1') then
435 20 zero_gravi
        ipb.w_pnt <= std_ulogic_vector(unsigned(ipb.w_pnt) + 1);
436
      end if;
437 37 zero_gravi
      if (ipb.we = '1') then -- write data
438 36 zero_gravi
        ipb.data(to_integer(unsigned(ipb.w_pnt(ipb.w_pnt'left-1 downto 0)))) <= ipb.wdata;
439
      end if;
440
      -- read port --
441 20 zero_gravi
      if (ipb.clear = '1') then
442
        ipb.r_pnt <= (others => '0');
443 6 zero_gravi
      elsif (ipb.re = '1') then
444 20 zero_gravi
        ipb.r_pnt <= std_ulogic_vector(unsigned(ipb.r_pnt) + 1);
445 6 zero_gravi
      end if;
446 20 zero_gravi
    end if;
447 36 zero_gravi
  end process instr_prefetch_buffer;
448 20 zero_gravi
 
449
  -- async read --
450 31 zero_gravi
  ipb.rdata <= ipb.data(to_integer(unsigned(ipb.r_pnt(ipb.r_pnt'left-1 downto 0))));
451 20 zero_gravi
 
452 6 zero_gravi
  -- status --
453 40 zero_gravi
  ipb.match <= '1' when (ipb.r_pnt(ipb.r_pnt'left-1 downto 0) = ipb.w_pnt(ipb.w_pnt'left-1 downto 0))  else '0';
454 34 zero_gravi
  ipb.full  <= '1' when (ipb.r_pnt(ipb.r_pnt'left) /= ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
455
  ipb.empty <= '1' when (ipb.r_pnt(ipb.r_pnt'left)  = ipb.w_pnt(ipb.w_pnt'left)) and (ipb.match = '1') else '0';
456 20 zero_gravi
  ipb.free  <= not ipb.full;
457
  ipb.avail <= not ipb.empty;
458 6 zero_gravi
 
459
 
460
-- ****************************************************************************************************************************
461 31 zero_gravi
-- Instruction Issue (recoding of compressed instructions and 32-bit instruction word construction)
462
-- ****************************************************************************************************************************
463
 
464
 
465
  -- Issue Engine FSM Sync ------------------------------------------------------------------
466
  -- -------------------------------------------------------------------------------------------
467
  issue_engine_fsm_sync: process(rstn_i, clk_i)
468
  begin
469
    if (rstn_i = '0') then
470
      issue_engine.state <= ISSUE_ACTIVE;
471 40 zero_gravi
      issue_engine.align <= CPU_BOOT_ADDR(1); -- 32- or 16-bit boundary
472 31 zero_gravi
      issue_engine.buf   <= (others => '0');
473
    elsif rising_edge(clk_i) then
474
      if (ipb.clear = '1') then
475
        if (CPU_EXTENSION_RISCV_C = true) then
476
          if (execute_engine.pc(1) = '1') then -- branch to unaligned address?
477
            issue_engine.state <= ISSUE_REALIGN;
478
            issue_engine.align <= '1'; -- aligned on 16-bit boundary
479
          else
480
            issue_engine.state <= issue_engine.state_nxt;
481
            issue_engine.align <= '0'; -- aligned on 32-bit boundary
482
          end if;
483
        else
484
          issue_engine.state <= issue_engine.state_nxt;
485
          issue_engine.align <= '0'; -- always aligned on 32-bit boundaries
486
        end if;
487
      else
488
        issue_engine.state <= issue_engine.state_nxt;
489
        issue_engine.align <= issue_engine.align_nxt;
490
      end if;
491
      issue_engine.buf <= issue_engine.buf_nxt;
492
    end if;
493
  end process issue_engine_fsm_sync;
494
 
495
 
496
  -- Issue Engine FSM Comb ------------------------------------------------------------------
497
  -- -------------------------------------------------------------------------------------------
498 37 zero_gravi
  issue_engine_fsm_comb: process(issue_engine, ipb, execute_engine, ci_illegal, ci_instr32)
499 31 zero_gravi
  begin
500
    -- arbiter defaults --
501
    issue_engine.state_nxt <= issue_engine.state;
502
    issue_engine.align_nxt <= issue_engine.align;
503
    issue_engine.buf_nxt   <= issue_engine.buf;
504
 
505
    -- instruction prefetch buffer interface defaults --
506
    ipb.re <= '0';
507
 
508 37 zero_gravi
    -- instruction issue interface defaults --
509
    -- cmd_issue.data = <illegal_compressed_instruction> & <bus_error & alignment_error> & <is_compressed_instrucion> & <32-bit_instruction_word>
510
    cmd_issue.data  <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
511
    cmd_issue.valid <= '0';
512 31 zero_gravi
 
513
    -- state machine --
514
    case issue_engine.state is
515
 
516
      when ISSUE_ACTIVE => -- issue instruction if available
517
      -- ------------------------------------------------------------
518
        if (ipb.avail = '1') then -- instructions available?
519
 
520
          if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- begin check in LOW instruction half-word
521 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
522 39 zero_gravi
              cmd_issue.valid      <= '1';
523 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
524
              if (ipb.rdata(1 downto 0) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed and "aligned"
525 37 zero_gravi
                ipb.re <= '1';
526
                cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
527 31 zero_gravi
              else -- compressed
528 37 zero_gravi
                ipb.re <= '1';
529
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
530 31 zero_gravi
                issue_engine.align_nxt <= '1';
531
              end if;
532
            end if;
533
 
534
          else -- begin check in HIGH instruction half-word
535 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
536 39 zero_gravi
              cmd_issue.valid      <= '1';
537 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
538
              if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed and "unaligned"
539 37 zero_gravi
                ipb.re <= '1';
540
                cmd_issue.data <= '0' & issue_engine.buf(17 downto 16) & '0' & (ipb.rdata(15 downto 0) & issue_engine.buf(15 downto 0));
541 31 zero_gravi
              else -- compressed
542 36 zero_gravi
                -- do not read from ipb here!
543 37 zero_gravi
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
544 31 zero_gravi
                issue_engine.align_nxt <= '0';
545
              end if;
546
            end if;
547
          end if;
548
        end if;
549
 
550
      when ISSUE_REALIGN => -- re-align input fifos after a branch to an unaligned address
551
      -- ------------------------------------------------------------
552
        issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16);
553
        if (ipb.avail = '1') then -- instructions available?
554
          ipb.re <= '1';
555
          issue_engine.state_nxt <= ISSUE_ACTIVE;
556
        end if;
557
 
558
      when others => -- undefined
559
      -- ------------------------------------------------------------
560
        issue_engine.state_nxt <= ISSUE_ACTIVE;
561
 
562
    end case;
563
  end process issue_engine_fsm_comb;
564
 
565 41 zero_gravi
  -- 16-bit instructions: half-word select --
566 31 zero_gravi
  ci_instr16 <= ipb.rdata(15 downto 0) when (issue_engine.align = '0') else issue_engine.buf(15 downto 0);
567
 
568
 
569
  -- Compressed Instructions Recoding -------------------------------------------------------
570
  -- -------------------------------------------------------------------------------------------
571
  neorv32_cpu_decompressor_inst_true:
572
  if (CPU_EXTENSION_RISCV_C = true) generate
573
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
574
    port map (
575
      -- instruction input --
576
      ci_instr16_i => ci_instr16, -- compressed instruction input
577
      -- instruction output --
578
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
579
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
580
    );
581
  end generate;
582
 
583
  neorv32_cpu_decompressor_inst_false:
584
  if (CPU_EXTENSION_RISCV_C = false) generate
585
    ci_instr32 <= (others => '0');
586
    ci_illegal <= '0';
587
  end generate;
588
 
589
 
590
-- ****************************************************************************************************************************
591 6 zero_gravi
-- Instruction Execution
592
-- ****************************************************************************************************************************
593
 
594
 
595 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
596
  -- -------------------------------------------------------------------------------------------
597 38 zero_gravi
  imm_gen: process(execute_engine.i_reg, clk_i)
598 37 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
599 2 zero_gravi
  begin
600 38 zero_gravi
    opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
601 2 zero_gravi
    if rising_edge(clk_i) then
602 40 zero_gravi
      if (execute_engine.state = BRANCH) then -- next_PC as immediate for jump-and-link operations (=return address)
603 39 zero_gravi
        imm_o <= execute_engine.next_pc;
604 40 zero_gravi
      else -- "normal" immediate from instruction
605 39 zero_gravi
        case opcode_v is -- save some bits here, LSBs are always 11 for rv32
606
          when opcode_store_c => -- S-immediate
607
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
608
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
609
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
610
            imm_o(00)           <= execute_engine.i_reg(07);
611
          when opcode_branch_c => -- B-immediate
612
            imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
613
            imm_o(11)           <= execute_engine.i_reg(07);
614
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
615
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
616
            imm_o(00)           <= '0';
617
          when opcode_lui_c | opcode_auipc_c => -- U-immediate
618
            imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
619
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
620
            imm_o(11 downto 00) <= (others => '0');
621
          when opcode_jal_c => -- J-immediate
622
            imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
623
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
624
            imm_o(11)           <= execute_engine.i_reg(20);
625
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
626
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
627
            imm_o(00)           <= '0';
628
          when opcode_atomic_c => -- atomic memory access
629 40 zero_gravi
            imm_o               <= (others => '0'); -- effective address is addr = reg + 0 = reg
630 39 zero_gravi
          when others => -- I-immediate
631
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
632
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
633
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
634
            imm_o(00)           <= execute_engine.i_reg(20);
635
        end case;
636
      end if;
637 2 zero_gravi
    end if;
638
  end process imm_gen;
639
 
640
 
641
  -- Branch Condition Check -----------------------------------------------------------------
642
  -- -------------------------------------------------------------------------------------------
643 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
644 2 zero_gravi
  begin
645 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
646 2 zero_gravi
      when funct3_beq_c => -- branch if equal
647 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_equal_c);
648 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
649 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_equal_c);
650 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
651 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_less_c);
652 2 zero_gravi
      when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned)
653 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_less_c);
654 2 zero_gravi
      when others => -- undefined
655 6 zero_gravi
        execute_engine.branch_taken <= '0';
656 2 zero_gravi
    end case;
657
  end process branch_check;
658
 
659
 
660 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
661 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
662 12 zero_gravi
  -- for registers that DO require a specific reset state --
663 6 zero_gravi
  execute_engine_fsm_sync_rst: process(rstn_i, clk_i)
664 2 zero_gravi
  begin
665
    if (rstn_i = '0') then
666 40 zero_gravi
      execute_engine.pc     <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
667
      execute_engine.state  <= SYS_WAIT;
668
      execute_engine.sleep  <= '0';
669
      execute_engine.if_rst <= '1'; -- instruction fetch is reset after system reset
670 2 zero_gravi
    elsif rising_edge(clk_i) then
671 39 zero_gravi
      -- PC update --
672
      if (execute_engine.pc_we = '1') then
673
        case execute_engine.pc_mux_sel is
674 45 zero_gravi
          when "00"   => execute_engine.pc <= alu_add_i(data_width_c-1 downto 1) & '0'; -- jump/taken_branch
675
          when "01"   => execute_engine.pc <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- normal (linear) increment
676 40 zero_gravi
          when "10"   => execute_engine.pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
677 41 zero_gravi
          when others => execute_engine.pc <= csr.mepc(data_width_c-1 downto 1) & '0';  -- trap exit
678 39 zero_gravi
        end case;
679
      end if;
680
      --
681 40 zero_gravi
      execute_engine.state  <= execute_engine.state_nxt;
682
      execute_engine.sleep  <= execute_engine.sleep_nxt;
683
      execute_engine.if_rst <= execute_engine.if_rst_nxt;
684 2 zero_gravi
    end if;
685 6 zero_gravi
  end process execute_engine_fsm_sync_rst;
686 2 zero_gravi
 
687 6 zero_gravi
 
688 12 zero_gravi
  -- for registers that do NOT require a specific reset state --
689 6 zero_gravi
  execute_engine_fsm_sync: process(clk_i)
690 2 zero_gravi
  begin
691
    if rising_edge(clk_i) then
692 42 zero_gravi
      execute_engine.state_prev <= execute_engine.state;
693
      execute_engine.i_reg      <= execute_engine.i_reg_nxt;
694
      execute_engine.is_ci      <= execute_engine.is_ci_nxt;
695
      execute_engine.is_cp_op   <= execute_engine.is_cp_op_nxt;
696 39 zero_gravi
      -- next PC (next linear instruction) --
697 40 zero_gravi
      if (execute_engine.state = EXECUTE) then
698
        if (execute_engine.is_ci = '1') then -- compressed instruction?
699
          execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + 2);
700
        else
701
          execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + 4);
702
        end if;
703 37 zero_gravi
      end if;
704 39 zero_gravi
      -- PC & IR of last "executed" instruction --
705
      if (execute_engine.state = EXECUTE) then
706 40 zero_gravi
        execute_engine.last_pc    <= execute_engine.pc;
707 39 zero_gravi
        execute_engine.i_reg_last <= execute_engine.i_reg;
708
      end if;
709
      -- main control bus --
710 6 zero_gravi
      ctrl <= ctrl_nxt;
711 2 zero_gravi
    end if;
712 6 zero_gravi
  end process execute_engine_fsm_sync;
713 2 zero_gravi
 
714 41 zero_gravi
  -- CSR access address --
715
  csr.addr <= execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c);
716
 
717 20 zero_gravi
  -- PC output --
718 39 zero_gravi
  curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- PC for ALU ops
719 6 zero_gravi
 
720 20 zero_gravi
 
721 6 zero_gravi
  -- CPU Control Bus Output -----------------------------------------------------------------
722
  -- -------------------------------------------------------------------------------------------
723 40 zero_gravi
  ctrl_output: process(ctrl, fetch_engine, trap_ctrl, atomic_ctrl, bus_fast_ir, execute_engine, csr)
724 2 zero_gravi
  begin
725 36 zero_gravi
    -- signals from execute engine --
726 2 zero_gravi
    ctrl_o <= ctrl;
727 36 zero_gravi
    -- current privilege level --
728
    ctrl_o(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) <= csr.privilege;
729
    -- register addresses --
730 40 zero_gravi
    ctrl_o(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c);
731
    ctrl_o(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c);
732
    ctrl_o(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c)  <= execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c);
733 12 zero_gravi
    -- fast bus access requests --
734 36 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= bus_fast_ir;
735 12 zero_gravi
    -- bus error control --
736 47 zero_gravi
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack; -- instruction fetch bus access error ACK
737
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack; -- data access bus error access ACK
738
    -- memory access size / sign --
739
    ctrl_o(ctrl_bus_unsigned_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
740
    ctrl_o(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size
741
    -- alu.shifter --
742
    ctrl_o(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
743
    ctrl_o(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
744 36 zero_gravi
    -- instruction's function blocks (for co-processors) --
745 44 zero_gravi
    ctrl_o(ctrl_ir_opcode7_6_c  downto ctrl_ir_opcode7_0_c) <= execute_engine.i_reg(instr_opcode_msb_c  downto instr_opcode_lsb_c);
746 36 zero_gravi
    ctrl_o(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_0_c) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c);
747
    ctrl_o(ctrl_ir_funct3_2_c   downto ctrl_ir_funct3_0_c)  <= execute_engine.i_reg(instr_funct3_msb_c  downto instr_funct3_lsb_c);
748 47 zero_gravi
    -- locked bus operation (for atomic memory operations) --
749 39 zero_gravi
    ctrl_o(ctrl_bus_lock_c) <= atomic_ctrl.lock; -- (bus) lock status
750 47 zero_gravi
    -- cpu status --
751
    ctrl_o(ctrl_sleep_c) <= execute_engine.sleep; -- cpu is in sleep mode
752 6 zero_gravi
  end process ctrl_output;
753 2 zero_gravi
 
754
 
755 44 zero_gravi
  -- Decoding Helper Logic ------------------------------------------------------------------
756
  -- -------------------------------------------------------------------------------------------
757
  decode_helper: process(execute_engine)
758
  begin
759
    -- defaults --
760
    decode_aux.alu_immediate   <= '0';
761
    decode_aux.rs1_is_r0       <= '0';
762
    decode_aux.is_atomic_lr    <= '0';
763
    decode_aux.is_atomic_sc    <= '0';
764
    decode_aux.is_bitmanip_imm <= '0';
765
    decode_aux.is_bitmanip_reg <= '0';
766
 
767
    -- is immediate ALU operation? --
768
    decode_aux.alu_immediate <= not execute_engine.i_reg(instr_opcode_msb_c-1);
769
 
770
    -- is rs1 == r0? --
771
    decode_aux.rs1_is_r0 <= not or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c));
772
 
773
    -- is atomic load-reservate/store-conditional? --
774
    if (CPU_EXTENSION_RISCV_A = true) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') then -- valid atomic sub-opcode
775
      decode_aux.is_atomic_lr <= not execute_engine.i_reg(instr_funct5_lsb_c);
776
      decode_aux.is_atomic_sc <=     execute_engine.i_reg(instr_funct5_lsb_c);
777
    end if;
778
 
779
    -- is BITMANIP.Zbb instruction? --
780
    -- pretty complex as we have to extract this from the ALU/ALUI instruction space --
781
    -- immediate operation --
782
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001") and
783
         (
784
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00000") or -- CLZ
785
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00001") or -- CTZ
786
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00010") or -- PCNT
787
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00100") or -- SEXT.B
788
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00101")    -- SEXT.H
789
         )
790
       ) or
791
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "01100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- RORI
792
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_imm12_lsb_c+6 downto instr_imm12_lsb_c) = "0000111")) or -- GORCI.b 7 (orc.b)
793
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "01101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_imm12_lsb_c+6 downto instr_imm12_lsb_c) = "0011000")) then -- GREVI.-8 (rev8)
794
      decode_aux.is_bitmanip_imm <= '1';
795
    end if;
796
    -- register operation --
797
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c-1 downto instr_funct3_lsb_c) = "01")) or -- ROR / ROL
798
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000101") and (execute_engine.i_reg(instr_funct3_msb_c) = '1')) or -- MIN[U] / MAX[U]
799
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")) or -- PACK
800
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100000") and
801
        (
802
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "111") or -- ANDN
803
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110") or -- ORN
804
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")    -- XORN
805
         )
806
        ) then
807
      decode_aux.is_bitmanip_reg <= '1';
808
    end if;
809
  end process decode_helper;
810
 
811
 
812 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
813
  -- -------------------------------------------------------------------------------------------
814 44 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, decode_aux, fetch_engine, cmd_issue, trap_ctrl, csr, ctrl, csr_acc_valid,
815 39 zero_gravi
                                   alu_wait_i, bus_d_wait_i, ma_load_i, be_load_i, ma_store_i, be_store_i)
816 44 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
817 2 zero_gravi
  begin
818
    -- arbiter defaults --
819 29 zero_gravi
    execute_engine.state_nxt    <= execute_engine.state;
820
    execute_engine.i_reg_nxt    <= execute_engine.i_reg;
821
    execute_engine.is_cp_op_nxt <= execute_engine.is_cp_op;
822
    execute_engine.is_ci_nxt    <= execute_engine.is_ci;
823
    execute_engine.sleep_nxt    <= execute_engine.sleep;
824
    execute_engine.if_rst_nxt   <= execute_engine.if_rst;
825 39 zero_gravi
    --
826 45 zero_gravi
    execute_engine.pc_mux_sel   <= (others => '0'); -- select "slowest path" as default
827 39 zero_gravi
    execute_engine.pc_we        <= '0';
828 2 zero_gravi
 
829 6 zero_gravi
    -- instruction dispatch --
830 37 zero_gravi
    fetch_engine.reset          <= '0';
831 2 zero_gravi
 
832 6 zero_gravi
    -- trap environment control --
833 37 zero_gravi
    trap_ctrl.env_start_ack     <= '0';
834
    trap_ctrl.env_end           <= '0';
835 6 zero_gravi
 
836 2 zero_gravi
    -- exception trigger --
837 37 zero_gravi
    trap_ctrl.instr_be          <= '0';
838
    trap_ctrl.instr_ma          <= '0';
839
    trap_ctrl.env_call          <= '0';
840
    trap_ctrl.break_point       <= '0';
841
    illegal_compressed          <= '0';
842 2 zero_gravi
 
843 6 zero_gravi
    -- CSR access --
844 37 zero_gravi
    csr.we_nxt                  <= '0';
845
    csr.re_nxt                  <= '0';
846 6 zero_gravi
 
847 39 zero_gravi
    -- atomic operations control --
848
    atomic_ctrl.env_start       <= '0';
849
    atomic_ctrl.env_end         <= '0';
850
    atomic_ctrl.env_abort       <= '0';
851
 
852
    -- CONTROL DEFAULTS --
853 36 zero_gravi
    ctrl_nxt <= (others => '0'); -- default: all off
854 47 zero_gravi
    -- ALU main control --
855
    ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
856
    ctrl_nxt(ctrl_alu_func1_c  downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- default ALU function select: arithmetic
857
    ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c; -- default ALU arithmetic operation: ADDSUB
858
    -- ALU sign control --
859 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
860 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation? (SLTIU, SLTU)
861 2 zero_gravi
    else -- branches
862 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches? (BLTU, BGEU)
863 2 zero_gravi
    end if;
864
 
865
 
866 6 zero_gravi
    -- state machine --
867
    case execute_engine.state is
868 2 zero_gravi
 
869 44 zero_gravi
      when SYS_WAIT => -- System delay cycle (used to wait for side effects to kick in) [and to init r0 with zero if it is a physical register]
870 2 zero_gravi
      -- ------------------------------------------------------------
871 26 zero_gravi
        -- set reg_file's r0 to zero --
872 25 zero_gravi
        if (rf_r0_is_reg_c = true) then -- is r0 implemented as physical register, which has to be set to zero?
873 44 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input = CSR output (hacky! results zero since there is no valid CSR-read)
874 36 zero_gravi
          ctrl_nxt(ctrl_rf_r0_we_c) <= '1'; -- force RF write access and force rd=r0
875 25 zero_gravi
        end if;
876
        --
877 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
878 2 zero_gravi
 
879 39 zero_gravi
 
880 37 zero_gravi
      when DISPATCH => -- Get new command from instruction issue engine
881 25 zero_gravi
      -- ------------------------------------------------------------
882 40 zero_gravi
        -- IR update --
883 45 zero_gravi
        execute_engine.pc_mux_sel <= "01"; -- linear next PC
884
        execute_engine.is_ci_nxt  <= cmd_issue.data(32); -- flag to indicate a de-compressed instruction beeing executed
885
        execute_engine.i_reg_nxt  <= cmd_issue.data(31 downto 0);
886 40 zero_gravi
        --
887 37 zero_gravi
        if (cmd_issue.valid = '1') then -- instruction available?
888 40 zero_gravi
          -- IR update - exceptions --
889
          trap_ctrl.instr_ma <= cmd_issue.data(33); -- misaligned instruction fetch address
890
          trap_ctrl.instr_be <= cmd_issue.data(34); -- bus access fault during instruction fetch
891
          illegal_compressed <= cmd_issue.data(35); -- invalid decompressed instruction
892 37 zero_gravi
          -- PC update --
893 27 zero_gravi
          execute_engine.if_rst_nxt <= '0';
894 40 zero_gravi
          execute_engine.pc_we      <= not execute_engine.if_rst; -- update PC with linear next_pc if there was NO non-linear PC modification
895
          -- any reason to go to trap state? --
896 37 zero_gravi
          if (execute_engine.sleep = '1') or (trap_ctrl.env_start = '1') or (trap_ctrl.exc_fire = '1') or ((cmd_issue.data(33) or cmd_issue.data(34)) = '1') then
897 13 zero_gravi
            execute_engine.state_nxt <= TRAP;
898
          else
899 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
900 13 zero_gravi
          end if;
901
        end if;
902 2 zero_gravi
 
903 39 zero_gravi
 
904 11 zero_gravi
      when TRAP => -- Start trap environment (also used as cpu sleep state)
905 2 zero_gravi
      -- ------------------------------------------------------------
906 39 zero_gravi
        execute_engine.pc_mux_sel <= "10"; -- csr.mtvec (trap)
907 40 zero_gravi
        execute_engine.if_rst_nxt <= '1'; -- this will be a non-linear PC modification
908 34 zero_gravi
        if (trap_ctrl.env_start = '1') then -- trap triggered?
909
          trap_ctrl.env_start_ack   <= '1';
910 42 zero_gravi
          fetch_engine.reset        <= '1';
911 39 zero_gravi
          execute_engine.pc_we      <= '1';
912 34 zero_gravi
          execute_engine.sleep_nxt  <= '0'; -- waky waky
913
          execute_engine.state_nxt  <= SYS_WAIT;
914 2 zero_gravi
        end if;
915
 
916 39 zero_gravi
 
917 40 zero_gravi
      when EXECUTE => -- Decode and execute instruction (control has to be here for excatly 1 cyle in any case!)
918 2 zero_gravi
      -- ------------------------------------------------------------
919 36 zero_gravi
        opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
920
        case opcode_v is
921 2 zero_gravi
 
922 25 zero_gravi
          when opcode_alu_c | opcode_alui_c => -- (immediate) ALU operation
923 2 zero_gravi
          -- ------------------------------------------------------------
924 39 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c)   <= '0'; -- use RS1 as ALU.OPA
925 44 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c)   <= decode_aux.alu_immediate; -- use IMM as ALU.OPB for immediate operations
926 39 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
927 25 zero_gravi
 
928 39 zero_gravi
            -- ALU arithmetic operation type and ADD/SUB --
929
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
930
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then
931
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_slt_c;
932 29 zero_gravi
            else
933 39 zero_gravi
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c;
934 25 zero_gravi
            end if;
935
 
936 29 zero_gravi
            -- ADD/SUB --
937 44 zero_gravi
            if ((decode_aux.alu_immediate = '0') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) or -- not an immediate op and funct7.6 set => SUB
938 29 zero_gravi
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or -- SLT operation
939
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then -- SLTU operation
940
              ctrl_nxt(ctrl_alu_addsub_c) <= '1'; -- SUB/SLT
941
            else
942
              ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
943
            end if;
944
 
945 39 zero_gravi
            -- ALU logic operation --
946
            case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.logic operation (re-coding)
947
              when funct3_xor_c => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_xor_c; -- XOR(I)
948
              when funct3_or_c  => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_or_c;  -- OR(I)
949 40 zero_gravi
              when others       => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_and_c; -- AND(I)
950 39 zero_gravi
            end case;
951
 
952 44 zero_gravi
            -- co-processor MULDIV operation? --
953
            if (CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then -- MULDIV CP op?
954
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- use MULDIV CP
955 39 zero_gravi
              execute_engine.is_cp_op_nxt                        <= '1'; -- this is a CP operation
956
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
957 44 zero_gravi
            -- co-processor bit manipulation operation? --
958
            elsif (CPU_EXTENSION_RISCV_B = true) and
959
              (((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5))  and (decode_aux.is_bitmanip_reg = '1')) or -- register operation
960
               ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alui_c(5)) and (decode_aux.is_bitmanip_imm = '1'))) then -- immediate operation
961
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_bitmanip_c; -- use BITMANIP CP
962
              execute_engine.is_cp_op_nxt                        <= '1'; -- this is a CP operation
963
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
964
            -- ALU operation, function select --
965 39 zero_gravi
            else
966
              execute_engine.is_cp_op_nxt <= '0'; -- no CP operation
967
              case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.func operation (re-coding)
968
                when funct3_xor_c | funct3_or_c | funct3_and_c => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c;
969
                when funct3_sll_c | funct3_sr_c                => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
970
                when others                                    => ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c;
971
              end case;
972
            end if;
973
 
974 11 zero_gravi
            -- multi cycle alu operation? --
975 25 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or -- SLL shift operation?
976
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) or -- SR shift operation?
977 44 zero_gravi
               ((CPU_EXTENSION_RISCV_M = true) and (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and (execute_engine.i_reg(instr_funct7_lsb_c) = '1')) or -- MULDIV CP op?
978
               ((CPU_EXTENSION_RISCV_B = true) and (
979
                 ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5))  and (decode_aux.is_bitmanip_reg = '1')) or -- BITMANIP CP register operation?
980
                 ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alui_c(5)) and (decode_aux.is_bitmanip_imm = '1'))) ) then -- BITMANIP CP immediate operation?
981 6 zero_gravi
              execute_engine.state_nxt <= ALU_WAIT;
982 26 zero_gravi
            else -- single cycle ALU operation
983 2 zero_gravi
              ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
984 6 zero_gravi
              execute_engine.state_nxt <= DISPATCH;
985 2 zero_gravi
            end if;
986
 
987 25 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC
988 2 zero_gravi
          -- ------------------------------------------------------------
989 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only)
990
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
991 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c)   <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
992
            ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
993 25 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI
994 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
995 27 zero_gravi
            else -- AUIPC
996 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
997 2 zero_gravi
            end if;
998 39 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
999
            ctrl_nxt(ctrl_rf_wb_en_c)      <= '1'; -- valid RF write-back
1000
            execute_engine.state_nxt       <= DISPATCH;
1001 2 zero_gravi
 
1002 39 zero_gravi
          when opcode_load_c | opcode_store_c | opcode_atomic_c => -- load/store / atomic memory access
1003 2 zero_gravi
          -- ------------------------------------------------------------
1004 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA
1005
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
1006 39 zero_gravi
            ctrl_nxt(ctrl_bus_mo_we_c)   <= '1'; -- write to MAR and MDO (MDO only relevant for store)
1007
            --
1008
            if (CPU_EXTENSION_RISCV_A = false) or (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') then -- atomic (A) extension disabled or normal load/store
1009
              execute_engine.state_nxt <= LOADSTORE_0;
1010
            else -- atomic operation
1011
              atomic_ctrl.env_start <= not execute_engine.i_reg(instr_funct5_lsb_c); -- LR: start LOCKED memory access environment
1012
              if (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c) or -- store-conditional
1013
                 (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) then -- load-reservate
1014
                execute_engine.state_nxt <= LOADSTORE_0;
1015
              else -- unimplemented (atomic) instruction
1016
                execute_engine.state_nxt <= SYS_WAIT;
1017
              end if;
1018
            end if;
1019 2 zero_gravi
 
1020 29 zero_gravi
          when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register)
1021 2 zero_gravi
          -- ------------------------------------------------------------
1022
            -- compute target address --
1023 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
1024
            ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
1025 29 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR
1026
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base)
1027
            else -- JAL / branch
1028
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base)
1029 2 zero_gravi
            end if;
1030 29 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset)
1031 39 zero_gravi
            --
1032 40 zero_gravi
            execute_engine.state_nxt <= BRANCH;
1033 2 zero_gravi
 
1034 8 zero_gravi
          when opcode_fence_c => -- fence operations
1035
          -- ------------------------------------------------------------
1036 39 zero_gravi
            execute_engine.state_nxt <= FENCE_OP;
1037 8 zero_gravi
 
1038 2 zero_gravi
          when opcode_syscsr_c => -- system/csr access
1039
          -- ------------------------------------------------------------
1040 45 zero_gravi
            if (CPU_EXTENSION_RISCV_Zicsr = true) then
1041
              csr.re_nxt <= csr_acc_valid; -- always read CSR if valid access, only relevant for CSR-instructions
1042
              if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system/environment
1043
                execute_engine.state_nxt <= SYS_ENV;
1044
              else -- CSR access
1045
                execute_engine.state_nxt <= CSR_ACCESS;
1046
              end if;
1047
            else
1048
              execute_engine.state_nxt <= SYS_WAIT;
1049 2 zero_gravi
            end if;
1050
 
1051
          when others => -- undefined
1052
          -- ------------------------------------------------------------
1053 39 zero_gravi
            execute_engine.state_nxt <= SYS_WAIT;
1054 2 zero_gravi
 
1055
        end case;
1056
 
1057 39 zero_gravi
 
1058
      when SYS_ENV => -- system environment operation - execution
1059 2 zero_gravi
      -- ------------------------------------------------------------
1060 40 zero_gravi
        execute_engine.pc_mux_sel <= "11"; -- csr.mepc (only relevant for MRET)
1061 39 zero_gravi
        case execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) is
1062
          when funct12_ecall_c => -- ECALL
1063 45 zero_gravi
            trap_ctrl.env_call        <= '1';
1064 39 zero_gravi
          when funct12_ebreak_c => -- EBREAK
1065 45 zero_gravi
            trap_ctrl.break_point     <= '1';
1066 39 zero_gravi
          when funct12_mret_c => -- MRET
1067 45 zero_gravi
            trap_ctrl.env_end         <= '1';
1068
            execute_engine.pc_we      <= '1'; -- update PC from MEPC
1069
            fetch_engine.reset        <= '1';
1070 39 zero_gravi
            execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
1071
          when funct12_wfi_c => -- WFI
1072 45 zero_gravi
            execute_engine.sleep_nxt  <= '1'; -- good night
1073 39 zero_gravi
          when others => -- undefined
1074
            NULL;
1075
        end case;
1076
        execute_engine.state_nxt <= SYS_WAIT;
1077
 
1078
 
1079
      when CSR_ACCESS => -- read & write status and control register (CSR)
1080
      -- ------------------------------------------------------------
1081 27 zero_gravi
        -- CSR write access --
1082 6 zero_gravi
        case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
1083 25 zero_gravi
          when funct3_csrrw_c | funct3_csrrwi_c => -- CSRRW(I)
1084 15 zero_gravi
            csr.we_nxt <= csr_acc_valid; -- always write CSR if valid access
1085 27 zero_gravi
          when funct3_csrrs_c | funct3_csrrsi_c | funct3_csrrc_c | funct3_csrrci_c => -- CSRRS(I) / CSRRC(I)
1086 44 zero_gravi
            csr.we_nxt <= (not decode_aux.rs1_is_r0) and csr_acc_valid; -- write CSR if rs1/imm is not zero and if valid access
1087 29 zero_gravi
          when others => -- invalid
1088 27 zero_gravi
            csr.we_nxt <= '0';
1089 2 zero_gravi
        end case;
1090 27 zero_gravi
        -- register file write back --
1091 45 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c downto ctrl_rf_in_mux_lsb_c) <= "11"; -- RF input <= CSR output
1092 2 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1093 39 zero_gravi
        execute_engine.state_nxt  <= DISPATCH;
1094 2 zero_gravi
 
1095 39 zero_gravi
 
1096 19 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation (shifter or CP) to finish
1097 2 zero_gravi
      -- ------------------------------------------------------------
1098 39 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU result
1099
        ctrl_nxt(ctrl_rf_wb_en_c)      <= '1'; -- valid RF write-back (permanent write-back)
1100 44 zero_gravi
        -- cp access or alu.shift? --
1101 29 zero_gravi
        if (execute_engine.is_cp_op = '1') then
1102 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1103 29 zero_gravi
        else
1104 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_shift_c;
1105 19 zero_gravi
        end if;
1106
        -- wait for result --
1107 6 zero_gravi
        if (alu_wait_i = '0') then
1108 29 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1109 2 zero_gravi
        end if;
1110
 
1111 39 zero_gravi
 
1112 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
1113
      -- ------------------------------------------------------------
1114 39 zero_gravi
        -- get and store return address (only relevant for jump-and-link operations) --
1115
        ctrl_nxt(ctrl_alu_opb_mux_c)                         <= '1'; -- use IMM as ALU.OPB (next_pc from immediate generator = return address)
1116
        ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
1117
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c)   <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
1118
        ctrl_nxt(ctrl_rf_in_mux_msb_c)                       <= '0'; -- RF input = ALU result
1119 40 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c)                            <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- valid RF write-back? (is jump-and-link?)
1120 39 zero_gravi
        -- destination address --
1121 45 zero_gravi
        execute_engine.pc_mux_sel <= "00"; -- alu.add = branch/jump destination
1122 40 zero_gravi
        if (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') or (execute_engine.branch_taken = '1') then -- JAL/JALR or taken branch
1123 39 zero_gravi
          execute_engine.pc_we      <= '1'; -- update PC
1124 20 zero_gravi
          fetch_engine.reset        <= '1'; -- trigger new instruction fetch from modified PC
1125
          execute_engine.if_rst_nxt <= '1'; -- this is a non-linear PC modification
1126
          execute_engine.state_nxt  <= SYS_WAIT;
1127 11 zero_gravi
        else
1128
          execute_engine.state_nxt <= DISPATCH;
1129 6 zero_gravi
        end if;
1130
 
1131 39 zero_gravi
 
1132
      when FENCE_OP => -- fence operations - execution
1133
      -- ------------------------------------------------------------
1134 47 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1135 39 zero_gravi
        -- FENCE.I --
1136 47 zero_gravi
        if (CPU_EXTENSION_RISCV_Zifencei = true) then
1137
          execute_engine.pc_mux_sel <= "01"; -- linear next PC = start *new* instruction fetch with next instruction (only relevant for fence.i)
1138
          if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fencei_c(0)) then
1139
            execute_engine.pc_we        <= '1';
1140
            execute_engine.if_rst_nxt   <= '1'; -- this is a non-linear PC modification
1141
            fetch_engine.reset          <= '1';
1142
            ctrl_nxt(ctrl_bus_fencei_c) <= '1';
1143
          end if;
1144 39 zero_gravi
        end if;
1145
        -- FENCE --
1146
        if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fence_c(0)) then
1147
          ctrl_nxt(ctrl_bus_fence_c) <= '1';
1148
        end if;
1149
 
1150
 
1151 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
1152 6 zero_gravi
      -- ------------------------------------------------------------
1153 44 zero_gravi
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (decode_aux.is_atomic_lr = '1') then -- normal load or atomic load-reservate
1154 12 zero_gravi
          ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request
1155 39 zero_gravi
        else -- store
1156 12 zero_gravi
          ctrl_nxt(ctrl_bus_wr_c) <= '1'; -- write request
1157
        end if;
1158
        execute_engine.state_nxt <= LOADSTORE_1;
1159 6 zero_gravi
 
1160 39 zero_gravi
 
1161 12 zero_gravi
      when LOADSTORE_1 => -- memory latency
1162 6 zero_gravi
      -- ------------------------------------------------------------
1163 39 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- write input data to MDI (only relevant for LOAD)
1164
        execute_engine.state_nxt   <= LOADSTORE_2;
1165 6 zero_gravi
 
1166 39 zero_gravi
 
1167 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
1168 6 zero_gravi
      -- ------------------------------------------------------------
1169 40 zero_gravi
        -- ALU control (only relevant for atomic memory operations) --
1170
        if (CPU_EXTENSION_RISCV_A = true) then
1171
          ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_atomic_c; -- atomic.SC: result comes from "atomic co-processor"
1172 39 zero_gravi
          ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1173
        end if;
1174 40 zero_gravi
        -- register file write-back --
1175 39 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_lsb_c) <= '0'; -- RF input = ALU.res or MEM
1176 44 zero_gravi
        if (decode_aux.is_atomic_sc = '1') then
1177 40 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '0'; -- RF input = ALU.res (only relevant for atomic.SC)
1178 39 zero_gravi
        else
1179 40 zero_gravi
          ctrl_nxt(ctrl_rf_in_mux_msb_c) <= '1'; -- RF input = memory input (only relevant for LOADs)
1180 39 zero_gravi
        end if;
1181
        --
1182
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for load operations)
1183
        -- wait for memory response --
1184 37 zero_gravi
        if ((ma_load_i or be_load_i or ma_store_i or be_store_i) = '1') then -- abort if exception
1185 39 zero_gravi
          atomic_ctrl.env_abort     <= '1'; -- LOCKED (atomic) memory access environment failed (forces SC result to be non-zero => failure)
1186 44 zero_gravi
          ctrl_nxt(ctrl_rf_wb_en_c) <= decode_aux.is_atomic_sc; -- SC failes: allow write back of non-zero result
1187 39 zero_gravi
          execute_engine.state_nxt  <= DISPATCH;
1188 26 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
1189 44 zero_gravi
          if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (decode_aux.is_atomic_lr = '1') or (decode_aux.is_atomic_sc = '1') then -- load / load-reservate / store conditional
1190 39 zero_gravi
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1191 6 zero_gravi
          end if;
1192 39 zero_gravi
          atomic_ctrl.env_end      <= '1'; -- normal end of LOCKED (atomic) memory access environment
1193 6 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1194
        end if;
1195
 
1196 39 zero_gravi
 
1197 2 zero_gravi
      when others => -- undefined
1198
      -- ------------------------------------------------------------
1199 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1200 2 zero_gravi
 
1201
    end case;
1202 6 zero_gravi
  end process execute_engine_fsm_comb;
1203 2 zero_gravi
 
1204
 
1205 15 zero_gravi
-- ****************************************************************************************************************************
1206
-- Invalid Instruction / CSR access check
1207
-- ****************************************************************************************************************************
1208
 
1209
 
1210
  -- Illegal CSR Access Check ---------------------------------------------------------------
1211
  -- -------------------------------------------------------------------------------------------
1212 40 zero_gravi
  invalid_csr_access_check: process(execute_engine.i_reg, csr)
1213 42 zero_gravi
    variable csr_wacc_v           : std_ulogic; -- to check access to read-only CSRs
1214 44 zero_gravi
--  variable csr_racc_v           : std_ulogic; -- to check access to write-only CSRs
1215 42 zero_gravi
    variable csr_mcounteren_hpm_v : std_ulogic_vector(28 downto 0); -- max 29 HPM counters
1216 15 zero_gravi
  begin
1217 30 zero_gravi
    -- is this CSR instruction really going to write/read to/from a CSR? --
1218
    if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1219
       (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then
1220
      csr_wacc_v := '1'; -- always write CSR
1221
--    csr_racc_v := or_all_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); -- read allowed if rd != 0
1222
    else
1223
      csr_wacc_v := or_all_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c)); -- write allowed if rs1/uimm5 != 0
1224
--    csr_racc_v := '1'; -- always read CSR
1225
    end if;
1226
 
1227 42 zero_gravi
    -- low privilege level access to hpm counters? --
1228
    csr_mcounteren_hpm_v := (others => '0');
1229
    csr_mcounteren_hpm_v(HPM_NUM_CNTS-1 downto 0) := csr.mcounteren_hpm(HPM_NUM_CNTS-1 downto 0);
1230
 
1231 15 zero_gravi
    -- check CSR access --
1232 41 zero_gravi
    case csr.addr is
1233
      -- standard read/write CSRs --
1234 42 zero_gravi
      when csr_mstatus_c       => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1235
      when csr_mstatush_c      => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1236
      when csr_misa_c          => csr_acc_valid <= csr.priv_m_mode;-- and (not csr_wacc_v); -- M-mode only, MISA is read-only in the NEORV32 but we do not cause an exception here for compatibility
1237
      when csr_mie_c           => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1238
      when csr_mtvec_c         => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1239
      when csr_mscratch_c      => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1240
      when csr_mepc_c          => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1241
      when csr_mcause_c        => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1242
      when csr_mcounteren_c    => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1243
      when csr_mtval_c         => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1244
      when csr_mip_c           => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1245 15 zero_gravi
      --
1246 42 zero_gravi
      when csr_pmpcfg0_c | csr_pmpcfg1_c | csr_pmpcfg2_c  | csr_pmpcfg3_c  | csr_pmpcfg4_c  | csr_pmpcfg5_c  | csr_pmpcfg6_c  | csr_pmpcfg7_c |
1247
           csr_pmpcfg8_c | csr_pmpcfg9_c | csr_pmpcfg10_c | csr_pmpcfg11_c | csr_pmpcfg12_c | csr_pmpcfg13_c | csr_pmpcfg14_c | csr_pmpcfg15_c =>
1248
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1249 15 zero_gravi
      --
1250 42 zero_gravi
      when csr_pmpaddr0_c  | csr_pmpaddr1_c  | csr_pmpaddr2_c  | csr_pmpaddr3_c  | csr_pmpaddr4_c  | csr_pmpaddr5_c  | csr_pmpaddr6_c  | csr_pmpaddr7_c  |
1251
           csr_pmpaddr8_c  | csr_pmpaddr9_c  | csr_pmpaddr10_c | csr_pmpaddr11_c | csr_pmpaddr12_c | csr_pmpaddr13_c | csr_pmpaddr14_c | csr_pmpaddr15_c |
1252
           csr_pmpaddr16_c | csr_pmpaddr17_c | csr_pmpaddr18_c | csr_pmpaddr19_c | csr_pmpaddr20_c | csr_pmpaddr21_c | csr_pmpaddr22_c | csr_pmpaddr23_c |
1253
           csr_pmpaddr24_c | csr_pmpaddr25_c | csr_pmpaddr26_c | csr_pmpaddr27_c | csr_pmpaddr28_c | csr_pmpaddr29_c | csr_pmpaddr30_c | csr_pmpaddr31_c |
1254
           csr_pmpaddr32_c | csr_pmpaddr33_c | csr_pmpaddr34_c | csr_pmpaddr35_c | csr_pmpaddr36_c | csr_pmpaddr37_c | csr_pmpaddr38_c | csr_pmpaddr39_c |
1255
           csr_pmpaddr40_c | csr_pmpaddr41_c | csr_pmpaddr42_c | csr_pmpaddr43_c | csr_pmpaddr44_c | csr_pmpaddr45_c | csr_pmpaddr46_c | csr_pmpaddr47_c |
1256
           csr_pmpaddr48_c | csr_pmpaddr49_c | csr_pmpaddr50_c | csr_pmpaddr51_c | csr_pmpaddr52_c | csr_pmpaddr53_c | csr_pmpaddr54_c | csr_pmpaddr55_c |
1257
           csr_pmpaddr56_c | csr_pmpaddr57_c | csr_pmpaddr58_c | csr_pmpaddr59_c | csr_pmpaddr60_c | csr_pmpaddr61_c | csr_pmpaddr62_c | csr_pmpaddr63_c =>
1258
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1259 15 zero_gravi
      --
1260 41 zero_gravi
      when csr_mcountinhibit_c => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1261 15 zero_gravi
      --
1262 42 zero_gravi
      when csr_mhpmevent3_c  | csr_mhpmevent4_c  | csr_mhpmevent5_c  | csr_mhpmevent6_c  | csr_mhpmevent7_c  | csr_mhpmevent8_c  |
1263
           csr_mhpmevent9_c  | csr_mhpmevent10_c | csr_mhpmevent11_c | csr_mhpmevent12_c | csr_mhpmevent13_c | csr_mhpmevent14_c |
1264
           csr_mhpmevent15_c | csr_mhpmevent16_c | csr_mhpmevent17_c | csr_mhpmevent18_c | csr_mhpmevent19_c | csr_mhpmevent20_c |
1265
           csr_mhpmevent21_c | csr_mhpmevent22_c | csr_mhpmevent23_c | csr_mhpmevent24_c | csr_mhpmevent25_c | csr_mhpmevent26_c |
1266
           csr_mhpmevent27_c | csr_mhpmevent28_c | csr_mhpmevent29_c | csr_mhpmevent30_c | csr_mhpmevent31_c =>
1267
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1268 15 zero_gravi
      --
1269 42 zero_gravi
      when csr_mcycle_c        => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1270
      when csr_minstret_c      => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1271
      --
1272
      when csr_mhpmcounter3_c  | csr_mhpmcounter4_c  | csr_mhpmcounter5_c  | csr_mhpmcounter6_c  | csr_mhpmcounter7_c  | csr_mhpmcounter8_c  |
1273
           csr_mhpmcounter9_c  | csr_mhpmcounter10_c | csr_mhpmcounter11_c | csr_mhpmcounter12_c | csr_mhpmcounter13_c | csr_mhpmcounter14_c |
1274
           csr_mhpmcounter15_c | csr_mhpmcounter16_c | csr_mhpmcounter17_c | csr_mhpmcounter18_c | csr_mhpmcounter19_c | csr_mhpmcounter20_c |
1275
           csr_mhpmcounter21_c | csr_mhpmcounter22_c | csr_mhpmcounter23_c | csr_mhpmcounter24_c | csr_mhpmcounter25_c | csr_mhpmcounter26_c |
1276
           csr_mhpmcounter27_c | csr_mhpmcounter28_c | csr_mhpmcounter29_c | csr_mhpmcounter30_c | csr_mhpmcounter31_c =>
1277
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1278
      --
1279
      when csr_mcycleh_c       => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1280
      when csr_minstreth_c     => csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1281
      --
1282
      when csr_mhpmcounter3h_c  | csr_mhpmcounter4h_c  | csr_mhpmcounter5h_c  | csr_mhpmcounter6h_c  | csr_mhpmcounter7h_c  | csr_mhpmcounter8h_c  |
1283
           csr_mhpmcounter9h_c  | csr_mhpmcounter10h_c | csr_mhpmcounter11h_c | csr_mhpmcounter12h_c | csr_mhpmcounter13h_c | csr_mhpmcounter14h_c |
1284
           csr_mhpmcounter15h_c | csr_mhpmcounter16h_c | csr_mhpmcounter17h_c | csr_mhpmcounter18h_c | csr_mhpmcounter19h_c | csr_mhpmcounter20h_c |
1285
           csr_mhpmcounter21h_c | csr_mhpmcounter22h_c | csr_mhpmcounter23h_c | csr_mhpmcounter24h_c | csr_mhpmcounter25h_c | csr_mhpmcounter26h_c |
1286
           csr_mhpmcounter27h_c | csr_mhpmcounter28h_c | csr_mhpmcounter29h_c | csr_mhpmcounter30h_c | csr_mhpmcounter31h_c =>
1287
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1288
 
1289 41 zero_gravi
      -- standard read-only CSRs --
1290 42 zero_gravi
      when csr_cycle_c         => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy); -- M-mode, U-mode if authorized, read-only
1291
      when csr_time_c          => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- M-mode, U-mode if authorized, read-only
1292
      when csr_instret_c       => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir); -- M-mode, U-mode if authorized, read-only
1293 15 zero_gravi
      --
1294 44 zero_gravi
      when csr_hpmcounter3_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(00)); -- M-mode, U-mode if authorized, read-only
1295
      when csr_hpmcounter4_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(01)); -- M-mode, U-mode if authorized, read-only
1296
      when csr_hpmcounter5_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(02)); -- M-mode, U-mode if authorized, read-only
1297
      when csr_hpmcounter6_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(03)); -- M-mode, U-mode if authorized, read-only
1298
      when csr_hpmcounter7_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(04)); -- M-mode, U-mode if authorized, read-only
1299
      when csr_hpmcounter8_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(05)); -- M-mode, U-mode if authorized, read-only
1300
      when csr_hpmcounter9_c   => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(06)); -- M-mode, U-mode if authorized, read-only
1301
      when csr_hpmcounter10_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(07)); -- M-mode, U-mode if authorized, read-only
1302
      when csr_hpmcounter11_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(08)); -- M-mode, U-mode if authorized, read-only
1303
      when csr_hpmcounter12_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(09)); -- M-mode, U-mode if authorized, read-only
1304 42 zero_gravi
      when csr_hpmcounter13_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(10)); -- M-mode, U-mode if authorized, read-only
1305
      when csr_hpmcounter14_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(11)); -- M-mode, U-mode if authorized, read-only
1306
      when csr_hpmcounter15_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(12)); -- M-mode, U-mode if authorized, read-only
1307
      when csr_hpmcounter16_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(13)); -- M-mode, U-mode if authorized, read-only
1308
      when csr_hpmcounter17_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(14)); -- M-mode, U-mode if authorized, read-only
1309
      when csr_hpmcounter18_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(15)); -- M-mode, U-mode if authorized, read-only
1310
      when csr_hpmcounter19_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(16)); -- M-mode, U-mode if authorized, read-only
1311
      when csr_hpmcounter20_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(17)); -- M-mode, U-mode if authorized, read-only
1312
      when csr_hpmcounter21_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(18)); -- M-mode, U-mode if authorized, read-only
1313
      when csr_hpmcounter22_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(19)); -- M-mode, U-mode if authorized, read-only
1314
      when csr_hpmcounter23_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(20)); -- M-mode, U-mode if authorized, read-only
1315
      when csr_hpmcounter24_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(21)); -- M-mode, U-mode if authorized, read-only
1316
      when csr_hpmcounter25_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(22)); -- M-mode, U-mode if authorized, read-only
1317
      when csr_hpmcounter26_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(23)); -- M-mode, U-mode if authorized, read-only
1318
      when csr_hpmcounter27_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(24)); -- M-mode, U-mode if authorized, read-only
1319
      when csr_hpmcounter28_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(25)); -- M-mode, U-mode if authorized, read-only
1320
      when csr_hpmcounter29_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(26)); -- M-mode, U-mode if authorized, read-only
1321
      when csr_hpmcounter30_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(27)); -- M-mode, U-mode if authorized, read-only
1322
      when csr_hpmcounter31_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(28)); -- M-mode, U-mode if authorized, read-only
1323 22 zero_gravi
      --
1324 42 zero_gravi
      when csr_cycleh_c        => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy); -- M-mode, U-mode if authorized, read-only
1325
      when csr_timeh_c         => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- M-mode, U-mode if authorized, read-only
1326
      when csr_instreth_c      => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir); -- M-mode, U-mode if authorized, read-only
1327
      --
1328 44 zero_gravi
      when csr_hpmcounter3h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(00)); -- M-mode, U-mode if authorized, read-only
1329
      when csr_hpmcounter4h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(01)); -- M-mode, U-mode if authorized, read-only
1330
      when csr_hpmcounter5h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(02)); -- M-mode, U-mode if authorized, read-only
1331
      when csr_hpmcounter6h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(03)); -- M-mode, U-mode if authorized, read-only
1332
      when csr_hpmcounter7h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(04)); -- M-mode, U-mode if authorized, read-only
1333
      when csr_hpmcounter8h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(05)); -- M-mode, U-mode if authorized, read-only
1334
      when csr_hpmcounter9h_c  => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(06)); -- M-mode, U-mode if authorized, read-only
1335
      when csr_hpmcounter10h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(07)); -- M-mode, U-mode if authorized, read-only
1336
      when csr_hpmcounter11h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(08)); -- M-mode, U-mode if authorized, read-only
1337
      when csr_hpmcounter12h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(09)); -- M-mode, U-mode if authorized, read-only
1338 42 zero_gravi
      when csr_hpmcounter13h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(10)); -- M-mode, U-mode if authorized, read-only
1339
      when csr_hpmcounter14h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(11)); -- M-mode, U-mode if authorized, read-only
1340
      when csr_hpmcounter15h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(12)); -- M-mode, U-mode if authorized, read-only
1341
      when csr_hpmcounter16h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(13)); -- M-mode, U-mode if authorized, read-only
1342
      when csr_hpmcounter17h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(14)); -- M-mode, U-mode if authorized, read-only
1343
      when csr_hpmcounter18h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(15)); -- M-mode, U-mode if authorized, read-only
1344
      when csr_hpmcounter19h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(16)); -- M-mode, U-mode if authorized, read-only
1345
      when csr_hpmcounter20h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(17)); -- M-mode, U-mode if authorized, read-only
1346
      when csr_hpmcounter21h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(18)); -- M-mode, U-mode if authorized, read-only
1347
      when csr_hpmcounter22h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(19)); -- M-mode, U-mode if authorized, read-only
1348
      when csr_hpmcounter23h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(20)); -- M-mode, U-mode if authorized, read-only
1349
      when csr_hpmcounter24h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(21)); -- M-mode, U-mode if authorized, read-only
1350
      when csr_hpmcounter25h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(22)); -- M-mode, U-mode if authorized, read-only
1351
      when csr_hpmcounter26h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(23)); -- M-mode, U-mode if authorized, read-only
1352
      when csr_hpmcounter27h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(24)); -- M-mode, U-mode if authorized, read-only
1353
      when csr_hpmcounter28h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(25)); -- M-mode, U-mode if authorized, read-only
1354
      when csr_hpmcounter29h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(26)); -- M-mode, U-mode if authorized, read-only
1355
      when csr_hpmcounter30h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(27)); -- M-mode, U-mode if authorized, read-only
1356
      when csr_hpmcounter31h_c => csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr_mcounteren_hpm_v(28)); -- M-mode, U-mode if authorized, read-only
1357
      --
1358
      when csr_mvendorid_c     => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1359
      when csr_marchid_c       => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1360
      when csr_mimpid_c        => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1361
      when csr_mhartid_c       => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1362 41 zero_gravi
      -- custom read-only CSRs --
1363 42 zero_gravi
      when csr_mzext_c         => csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1364 29 zero_gravi
      --
1365 42 zero_gravi
      when others              => csr_acc_valid <= '0'; -- invalid access
1366 15 zero_gravi
    end case;
1367
  end process invalid_csr_access_check;
1368
 
1369
 
1370 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
1371
  -- -------------------------------------------------------------------------------------------
1372 44 zero_gravi
  illegal_instruction_check: process(execute_engine, decode_aux, csr_acc_valid)
1373 36 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
1374 2 zero_gravi
  begin
1375 11 zero_gravi
    -- illegal instructions are checked in the EXECUTE stage
1376 36 zero_gravi
    -- the execute engine should not commit any illegal instruction
1377 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
1378 2 zero_gravi
      -- defaults --
1379
      illegal_instruction <= '0';
1380
      illegal_register    <= '0';
1381
 
1382 36 zero_gravi
      -- check opcode for rv32 --
1383
      if (execute_engine.i_reg(instr_opcode_lsb_c+1 downto instr_opcode_lsb_c) = "11") then
1384
        illegal_opcode_lsbs <= '0';
1385
      else
1386
        illegal_opcode_lsbs <= '1';
1387
      end if;
1388
 
1389 2 zero_gravi
      -- check instructions --
1390 36 zero_gravi
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
1391
      case opcode_v is
1392 2 zero_gravi
 
1393 44 zero_gravi
        -- check sufficient LUI, UIPC, JAL (only check actual OPCODE) --
1394 2 zero_gravi
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c =>
1395
          illegal_instruction <= '0';
1396 23 zero_gravi
          -- illegal E-CPU register? --
1397
          if (CPU_EXTENSION_RISCV_E = true) and (execute_engine.i_reg(instr_rd_msb_c) = '1') then
1398
            illegal_register <= '1';
1399
          end if;
1400 2 zero_gravi
 
1401 44 zero_gravi
        when opcode_alu_c => -- check ALU.funct3 & ALU.funct7
1402
          if (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then -- MULDIV
1403
            if (CPU_EXTENSION_RISCV_M = false) then -- not implemented
1404
              illegal_instruction <= '1';
1405
            end if;
1406
          elsif (decode_aux.is_bitmanip_reg = '1') then -- bit manipulation
1407
            if (CPU_EXTENSION_RISCV_B = false) then -- not implemented
1408
              illegal_instruction <= '1';
1409
            end if;
1410
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1411
                 (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and -- ADD/SUB or SRA/SRL check
1412
                ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1413
                 (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000")) then -- ADD/SUB or SRA/SRL select
1414
            illegal_instruction <= '1';
1415
          else
1416
            illegal_instruction <= '0';
1417
          end if;
1418
          -- illegal E-CPU register? --
1419
          if (CPU_EXTENSION_RISCV_E = true) and
1420
             ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1421
            illegal_register <= '1';
1422
          end if;
1423
 
1424
        when opcode_alui_c => -- check ALUI.funct7
1425
          if (decode_aux.is_bitmanip_imm = '1') then -- bit manipulation
1426
            if (CPU_EXTENSION_RISCV_B = false) then -- not implemented
1427
              illegal_instruction <= '1';
1428
            end if;
1429
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and
1430 6 zero_gravi
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000")) or -- shift logical left
1431
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and
1432
              ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1433
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000"))) then -- shift right
1434 2 zero_gravi
            illegal_instruction <= '1';
1435
          else
1436
            illegal_instruction <= '0';
1437
          end if;
1438 23 zero_gravi
          -- illegal E-CPU register? --
1439
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1440
            illegal_register <= '1';
1441
          end if;
1442 39 zero_gravi
 
1443 44 zero_gravi
        when opcode_load_c => -- check LOAD.funct3
1444 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
1445
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
1446
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1447
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1448
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1449 2 zero_gravi
            illegal_instruction <= '0';
1450
          else
1451
            illegal_instruction <= '1';
1452
          end if;
1453 23 zero_gravi
          -- illegal E-CPU register? --
1454
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1455
            illegal_register <= '1';
1456
          end if;
1457 39 zero_gravi
 
1458 44 zero_gravi
        when opcode_store_c => -- check STORE.funct3
1459 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1460
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1461
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1462 2 zero_gravi
            illegal_instruction <= '0';
1463
          else
1464
            illegal_instruction <= '1';
1465
          end if;
1466 23 zero_gravi
          -- illegal E-CPU register? --
1467
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1468
            illegal_register <= '1';
1469
          end if;
1470 2 zero_gravi
 
1471 44 zero_gravi
        when opcode_branch_c => -- check BRANCH.funct3
1472 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1473
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1474
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1475
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1476
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1477
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1478 2 zero_gravi
            illegal_instruction <= '0';
1479
          else
1480
            illegal_instruction <= '1';
1481
          end if;
1482 23 zero_gravi
          -- illegal E-CPU register? --
1483
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1484
            illegal_register <= '1';
1485
          end if;
1486 2 zero_gravi
 
1487 44 zero_gravi
        when opcode_jalr_c => -- check JALR.funct3
1488 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1489 2 zero_gravi
            illegal_instruction <= '0';
1490
          else
1491
            illegal_instruction <= '1';
1492
          end if;
1493 23 zero_gravi
          -- illegal E-CPU register? --
1494
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1495
            illegal_register <= '1';
1496
          end if;
1497 2 zero_gravi
 
1498 8 zero_gravi
        when opcode_fence_c => -- fence instructions --
1499
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true) then -- FENCE.I
1500
            illegal_instruction <= '0';
1501
          elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1502
            illegal_instruction <= '0';
1503
          else
1504
            illegal_instruction <= '1';
1505
          end if;
1506
 
1507 2 zero_gravi
        when opcode_syscsr_c => -- check system instructions --
1508
          -- CSR access --
1509 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1510
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1511
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1512
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1513
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1514
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c) then
1515 15 zero_gravi
            -- valid CSR access? --
1516
            if (csr_acc_valid = '1') then
1517 2 zero_gravi
              illegal_instruction <= '0';
1518
            else
1519
              illegal_instruction <= '1';
1520
            end if;
1521 23 zero_gravi
            -- illegal E-CPU register? --
1522
            if (CPU_EXTENSION_RISCV_E = true) then
1523
              if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR
1524
                illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1525
              else -- reg-imm CSR
1526
                illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1527
              end if;
1528
            end if;
1529 2 zero_gravi
 
1530
          -- ecall, ebreak, mret, wfi --
1531 6 zero_gravi
          elsif (execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c)  = "00000") and
1532
                (execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c) = "00000") then
1533 13 zero_gravi
            if (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1534 11 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1535 13 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_mret_c)   or -- MRET
1536
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_wfi_c) then  -- WFI
1537 2 zero_gravi
              illegal_instruction <= '0';
1538
            else
1539
              illegal_instruction <= '1';
1540
            end if;
1541
          else
1542
            illegal_instruction <= '1';
1543
          end if;
1544
 
1545 39 zero_gravi
        when opcode_atomic_c => -- atomic instructions --
1546
          if (CPU_EXTENSION_RISCV_A = true) and -- atomic memory operations (A extension) enabled
1547
             ((execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) or -- LR
1548
              (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c)) then -- SC
1549
            illegal_instruction <= '0';
1550
          else
1551
            illegal_instruction <= '1';
1552
          end if;
1553
 
1554 36 zero_gravi
        when others => -- undefined instruction -> illegal!
1555
          illegal_instruction <= '1';
1556 2 zero_gravi
 
1557
      end case;
1558
    else
1559 36 zero_gravi
      illegal_opcode_lsbs <= '0';
1560 2 zero_gravi
      illegal_instruction <= '0';
1561
      illegal_register    <= '0';
1562
    end if;
1563
  end process illegal_instruction_check;
1564
 
1565
  -- any illegal condition? --
1566 36 zero_gravi
  trap_ctrl.instr_il <= illegal_instruction or illegal_opcode_lsbs or illegal_register or illegal_compressed;
1567 2 zero_gravi
 
1568
 
1569 6 zero_gravi
-- ****************************************************************************************************************************
1570 38 zero_gravi
-- Exception and Interrupt (= Trap) Control
1571 6 zero_gravi
-- ****************************************************************************************************************************
1572 2 zero_gravi
 
1573
 
1574 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1575 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1576 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1577 40 zero_gravi
    variable mode_m_v, mode_u_v : std_ulogic;
1578 2 zero_gravi
  begin
1579
    if (rstn_i = '0') then
1580 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1581
      trap_ctrl.irq_buf   <= (others => '0');
1582
      trap_ctrl.exc_ack   <= '0';
1583
      trap_ctrl.irq_ack   <= (others => '0');
1584 47 zero_gravi
      trap_ctrl.env_start <= '0';
1585 40 zero_gravi
      trap_ctrl.cause     <= trap_reset_c;
1586 47 zero_gravi
      trap_ctrl.firq_sync <= (others => '0');
1587 2 zero_gravi
    elsif rising_edge(clk_i) then
1588
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1589
        -- exception buffer: misaligned load/store/instruction address
1590 40 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c)    <= (trap_ctrl.exc_buf(exception_lalign_c)    or ma_load_i)          and (not trap_ctrl.exc_ack);
1591
        trap_ctrl.exc_buf(exception_salign_c)    <= (trap_ctrl.exc_buf(exception_salign_c)    or ma_store_i)         and (not trap_ctrl.exc_ack);
1592
        trap_ctrl.exc_buf(exception_ialign_c)    <= (trap_ctrl.exc_buf(exception_ialign_c)    or trap_ctrl.instr_ma) and (not trap_ctrl.exc_ack);
1593 2 zero_gravi
        -- exception buffer: load/store/instruction bus access error
1594 40 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c)   <= (trap_ctrl.exc_buf(exception_laccess_c)   or be_load_i)          and (not trap_ctrl.exc_ack);
1595
        trap_ctrl.exc_buf(exception_saccess_c)   <= (trap_ctrl.exc_buf(exception_saccess_c)   or be_store_i)         and (not trap_ctrl.exc_ack);
1596
        trap_ctrl.exc_buf(exception_iaccess_c)   <= (trap_ctrl.exc_buf(exception_iaccess_c)   or trap_ctrl.instr_be) and (not trap_ctrl.exc_ack);
1597 2 zero_gravi
        -- exception buffer: illegal instruction / env call / break point
1598 40 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or (trap_ctrl.env_call and csr.priv_m_mode)) and (not trap_ctrl.exc_ack);
1599
        trap_ctrl.exc_buf(exception_u_envcall_c) <= (trap_ctrl.exc_buf(exception_u_envcall_c) or (trap_ctrl.env_call and csr.priv_u_mode)) and (not trap_ctrl.exc_ack);
1600
        trap_ctrl.exc_buf(exception_break_c)     <= (trap_ctrl.exc_buf(exception_break_c)     or trap_ctrl.break_point)                    and (not trap_ctrl.exc_ack);
1601
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)                       and (not trap_ctrl.exc_ack);
1602 18 zero_gravi
        -- interrupt buffer: machine software/external/timer interrupt
1603 40 zero_gravi
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and (trap_ctrl.irq_buf(interrupt_msw_irq_c)   or msw_irq_i)   and (not (trap_ctrl.irq_ack(interrupt_msw_irq_c)   or csr.mip_clear(interrupt_msw_irq_c)));
1604
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and (trap_ctrl.irq_buf(interrupt_mext_irq_c)  or mext_irq_i)  and (not (trap_ctrl.irq_ack(interrupt_mext_irq_c)  or csr.mip_clear(interrupt_mext_irq_c)));
1605
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and (trap_ctrl.irq_buf(interrupt_mtime_irq_c) or mtime_irq_i) and (not (trap_ctrl.irq_ack(interrupt_mtime_irq_c) or csr.mip_clear(interrupt_mtime_irq_c)));
1606 18 zero_gravi
        -- interrupt buffer: custom fast interrupts
1607 47 zero_gravi
        trap_ctrl.firq_sync <= firq_i;
1608
        --
1609
        trap_ctrl.irq_buf(interrupt_firq_0_c)    <= csr.mie_firqe(0) and (trap_ctrl.irq_buf(interrupt_firq_0_c) or trap_ctrl.firq_sync(0)) and (not (trap_ctrl.irq_ack(interrupt_firq_0_c) or csr.mip_clear(interrupt_firq_0_c)));
1610
        trap_ctrl.irq_buf(interrupt_firq_1_c)    <= csr.mie_firqe(1) and (trap_ctrl.irq_buf(interrupt_firq_1_c) or trap_ctrl.firq_sync(1)) and (not (trap_ctrl.irq_ack(interrupt_firq_1_c) or csr.mip_clear(interrupt_firq_1_c)));
1611
        trap_ctrl.irq_buf(interrupt_firq_2_c)    <= csr.mie_firqe(2) and (trap_ctrl.irq_buf(interrupt_firq_2_c) or trap_ctrl.firq_sync(2)) and (not (trap_ctrl.irq_ack(interrupt_firq_2_c) or csr.mip_clear(interrupt_firq_2_c)));
1612
        trap_ctrl.irq_buf(interrupt_firq_3_c)    <= csr.mie_firqe(3) and (trap_ctrl.irq_buf(interrupt_firq_3_c) or trap_ctrl.firq_sync(3)) and (not (trap_ctrl.irq_ack(interrupt_firq_3_c) or csr.mip_clear(interrupt_firq_3_c)));
1613
        trap_ctrl.irq_buf(interrupt_firq_4_c)    <= csr.mie_firqe(4) and (trap_ctrl.irq_buf(interrupt_firq_4_c) or trap_ctrl.firq_sync(4)) and (not (trap_ctrl.irq_ack(interrupt_firq_4_c) or csr.mip_clear(interrupt_firq_4_c)));
1614
        trap_ctrl.irq_buf(interrupt_firq_5_c)    <= csr.mie_firqe(5) and (trap_ctrl.irq_buf(interrupt_firq_5_c) or trap_ctrl.firq_sync(5)) and (not (trap_ctrl.irq_ack(interrupt_firq_5_c) or csr.mip_clear(interrupt_firq_5_c)));
1615
        trap_ctrl.irq_buf(interrupt_firq_6_c)    <= csr.mie_firqe(6) and (trap_ctrl.irq_buf(interrupt_firq_6_c) or trap_ctrl.firq_sync(6)) and (not (trap_ctrl.irq_ack(interrupt_firq_6_c) or csr.mip_clear(interrupt_firq_6_c)));
1616
        trap_ctrl.irq_buf(interrupt_firq_7_c)    <= csr.mie_firqe(7) and (trap_ctrl.irq_buf(interrupt_firq_7_c) or trap_ctrl.firq_sync(7)) and (not (trap_ctrl.irq_ack(interrupt_firq_7_c) or csr.mip_clear(interrupt_firq_7_c)));
1617 6 zero_gravi
        -- trap control --
1618
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1619 11 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- exception/IRQ detected!
1620 39 zero_gravi
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP))) then -- sample IRQs in EXECUTE or TRAP state only to continue execution even if permanent IRQ
1621 13 zero_gravi
            trap_ctrl.cause     <= trap_ctrl.cause_nxt;   -- capture source ID for program (for mcause csr)
1622 7 zero_gravi
            trap_ctrl.exc_ack   <= '1';                   -- clear execption
1623 42 zero_gravi
            trap_ctrl.irq_ack   <= trap_ctrl.irq_ack_nxt; -- clear interrupt with interrupt ACK mask
1624 13 zero_gravi
            trap_ctrl.env_start <= '1';                   -- now execute engine can start trap handler
1625 2 zero_gravi
          end if;
1626 6 zero_gravi
        else -- trap waiting to get started
1627
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1628
            trap_ctrl.exc_ack   <= '0';
1629
            trap_ctrl.irq_ack   <= (others => '0');
1630
            trap_ctrl.env_start <= '0';
1631 2 zero_gravi
          end if;
1632
        end if;
1633
      end if;
1634
    end if;
1635 6 zero_gravi
  end process trap_controller;
1636 2 zero_gravi
 
1637
  -- any exception/interrupt? --
1638 27 zero_gravi
  trap_ctrl.exc_fire <= or_all_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked
1639
  trap_ctrl.irq_fire <= or_all_f(trap_ctrl.irq_buf) and csr.mstatus_mie; -- interrupts CAN be masked
1640 2 zero_gravi
 
1641 40 zero_gravi
  -- current pending interrupts (for CSR.MIP register) --
1642
  csr.mip_status <= trap_ctrl.irq_buf;
1643 2 zero_gravi
 
1644 47 zero_gravi
  -- acknowledge mask output --
1645
  firq_ack_o <= trap_ctrl.irq_ack(interrupt_firq_7_c downto interrupt_firq_0_c);
1646 40 zero_gravi
 
1647 47 zero_gravi
 
1648 42 zero_gravi
  -- Trap Priority Encoder ------------------------------------------------------------------
1649 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
1650
  trap_priority: process(trap_ctrl)
1651 2 zero_gravi
  begin
1652
    -- defaults --
1653 6 zero_gravi
    trap_ctrl.cause_nxt   <= (others => '0');
1654
    trap_ctrl.irq_ack_nxt <= (others => '0');
1655 2 zero_gravi
 
1656 38 zero_gravi
    -- the following traps are caused by *asynchronous* exceptions (= interrupts)
1657 12 zero_gravi
    -- here we do need a specific acknowledge mask since several sources can trigger at once
1658 9 zero_gravi
 
1659 2 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1660 6 zero_gravi
    if (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1661 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mei_c;
1662 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mext_irq_c) <= '1';
1663 2 zero_gravi
 
1664 40 zero_gravi
    -- interrupt: 1.3 machine SW interrupt --
1665
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1666
      trap_ctrl.cause_nxt <= trap_msi_c;
1667
      trap_ctrl.irq_ack_nxt(interrupt_msw_irq_c) <= '1';
1668
 
1669 2 zero_gravi
    -- interrupt: 1.7 machine timer interrupt --
1670 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then
1671 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mti_c;
1672 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mtime_irq_c) <= '1';
1673 2 zero_gravi
 
1674
 
1675 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1676
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1677
      trap_ctrl.cause_nxt <= trap_firq0_c;
1678
      trap_ctrl.irq_ack_nxt(interrupt_firq_0_c) <= '1';
1679
 
1680
    -- interrupt: 1.17 fast interrupt channel 1 --
1681
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1682
      trap_ctrl.cause_nxt <= trap_firq1_c;
1683
      trap_ctrl.irq_ack_nxt(interrupt_firq_1_c) <= '1';
1684
 
1685
    -- interrupt: 1.18 fast interrupt channel 2 --
1686
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1687
      trap_ctrl.cause_nxt <= trap_firq2_c;
1688
      trap_ctrl.irq_ack_nxt(interrupt_firq_2_c) <= '1';
1689
 
1690
    -- interrupt: 1.19 fast interrupt channel 3 --
1691
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1692
      trap_ctrl.cause_nxt <= trap_firq3_c;
1693
      trap_ctrl.irq_ack_nxt(interrupt_firq_3_c) <= '1';
1694
 
1695 47 zero_gravi
    -- interrupt: 1.20 fast interrupt channel 4 --
1696
    elsif (trap_ctrl.irq_buf(interrupt_firq_4_c) = '1') then
1697
      trap_ctrl.cause_nxt <= trap_firq4_c;
1698
      trap_ctrl.irq_ack_nxt(interrupt_firq_4_c) <= '1';
1699 14 zero_gravi
 
1700 47 zero_gravi
    -- interrupt: 1.21 fast interrupt channel 5 --
1701
    elsif (trap_ctrl.irq_buf(interrupt_firq_5_c) = '1') then
1702
      trap_ctrl.cause_nxt <= trap_firq5_c;
1703
      trap_ctrl.irq_ack_nxt(interrupt_firq_5_c) <= '1';
1704
 
1705
    -- interrupt: 1.22 fast interrupt channel 6 --
1706
    elsif (trap_ctrl.irq_buf(interrupt_firq_6_c) = '1') then
1707
      trap_ctrl.cause_nxt <= trap_firq6_c;
1708
      trap_ctrl.irq_ack_nxt(interrupt_firq_6_c) <= '1';
1709
 
1710
    -- interrupt: 1.23 fast interrupt channel 7 --
1711
    elsif (trap_ctrl.irq_buf(interrupt_firq_7_c) = '1') then
1712
      trap_ctrl.cause_nxt <= trap_firq7_c;
1713
      trap_ctrl.irq_ack_nxt(interrupt_firq_7_c) <= '1';
1714
 
1715
 
1716 42 zero_gravi
    -- the following traps are caused by *synchronous* exceptions (= 'classic' exceptions)
1717 12 zero_gravi
    -- here we do not need a specific acknowledge mask since only one exception (the one
1718 38 zero_gravi
    -- with highest priority) is evaluated at once
1719 4 zero_gravi
 
1720 38 zero_gravi
    -- exception: 0.1 instruction access fault --
1721 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1722 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iba_c;
1723 2 zero_gravi
 
1724 38 zero_gravi
    -- exception: 0.2 illegal instruction --
1725 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1726 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iil_c;
1727 2 zero_gravi
 
1728 38 zero_gravi
    -- exception: 0.0 instruction address misaligned --
1729 12 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1730
      trap_ctrl.cause_nxt <= trap_ima_c;
1731 2 zero_gravi
 
1732 12 zero_gravi
 
1733 38 zero_gravi
    -- exception: 0.11 environment call from M-mode --
1734 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1735 14 zero_gravi
      trap_ctrl.cause_nxt <= trap_menv_c;
1736 2 zero_gravi
 
1737 40 zero_gravi
    -- exception: 0.8 environment call from U-mode --
1738
    elsif (trap_ctrl.exc_buf(exception_u_envcall_c) = '1') then
1739
      trap_ctrl.cause_nxt <= trap_uenv_c;
1740
 
1741 38 zero_gravi
    -- exception: 0.3 breakpoint --
1742 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1743 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_brk_c;
1744 2 zero_gravi
 
1745
 
1746 38 zero_gravi
    -- exception: 0.6 store address misaligned -
1747 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1748 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sma_c;
1749 2 zero_gravi
 
1750 38 zero_gravi
    -- exception: 0.4 load address misaligned --
1751 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1752 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lma_c;
1753 2 zero_gravi
 
1754 38 zero_gravi
    -- exception: 0.7 store access fault --
1755 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1756 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sbe_c;
1757 2 zero_gravi
 
1758 38 zero_gravi
    -- exception: 0.5 load access fault --
1759 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1760 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lbe_c;
1761 2 zero_gravi
 
1762 42 zero_gravi
    -- not implemented --
1763 2 zero_gravi
    else
1764 6 zero_gravi
      trap_ctrl.cause_nxt   <= (others => '0');
1765
      trap_ctrl.irq_ack_nxt <= (others => '0');
1766 2 zero_gravi
    end if;
1767 6 zero_gravi
  end process trap_priority;
1768 39 zero_gravi
 
1769
 
1770
  -- Atomic Operation Controller ------------------------------------------------------------
1771
  -- -------------------------------------------------------------------------------------------
1772
  atomics_controller: process(rstn_i, clk_i)
1773
  begin
1774
    if (rstn_i = '0') then
1775
      atomic_ctrl.lock       <= '0';
1776
      atomic_ctrl.env_end_ff <= '0';
1777
    elsif rising_edge(clk_i) then
1778
      if (CPU_EXTENSION_RISCV_A = true) then
1779
        if (atomic_ctrl.env_end_ff = '1') or -- normal termination
1780 40 zero_gravi
           (atomic_ctrl.env_abort = '1') or  -- fast termination (error)
1781
           (trap_ctrl.env_start = '1') then  -- triggered trap -> failure
1782 39 zero_gravi
          atomic_ctrl.lock <= '0';
1783
        elsif (atomic_ctrl.env_start = '1') then
1784
          atomic_ctrl.lock <= '1';
1785
        end if;
1786
        atomic_ctrl.env_end_ff <= atomic_ctrl.env_end;
1787
      else
1788
        atomic_ctrl.lock       <= '0';
1789
        atomic_ctrl.env_end_ff <= '0';
1790
      end if;
1791
    end if;
1792
  end process atomics_controller;
1793 6 zero_gravi
 
1794 2 zero_gravi
 
1795 6 zero_gravi
-- ****************************************************************************************************************************
1796
-- Control and Status Registers (CSRs)
1797
-- ****************************************************************************************************************************
1798 2 zero_gravi
 
1799 27 zero_gravi
  -- Control and Status Registers Write Data ------------------------------------------------
1800
  -- -------------------------------------------------------------------------------------------
1801 36 zero_gravi
  csr_write_data: process(execute_engine.i_reg, csr.rdata, rs1_i)
1802
    variable csr_operand_v : std_ulogic_vector(data_width_c-1 downto 0);
1803 27 zero_gravi
  begin
1804 36 zero_gravi
    -- CSR operand source --
1805
    if (execute_engine.i_reg(instr_funct3_msb_c) = '1') then -- immediate
1806
      csr_operand_v := (others => '0');
1807 38 zero_gravi
      csr_operand_v(4 downto 0) := execute_engine.i_reg(19 downto 15); -- uimm5
1808 36 zero_gravi
    else -- register
1809
      csr_operand_v := rs1_i;
1810
    end if;
1811 40 zero_gravi
    -- tiny ALU for CSR write operations --
1812 27 zero_gravi
    case execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c) is
1813 36 zero_gravi
      when "10"   => csr.wdata <= csr.rdata or csr_operand_v; -- CSRRS(I)
1814
      when "11"   => csr.wdata <= csr.rdata and (not csr_operand_v); -- CSRRC(I)
1815
      when others => csr.wdata <= csr_operand_v; -- CSRRW(I)
1816 27 zero_gravi
    end case;
1817
  end process csr_write_data;
1818
 
1819
 
1820 2 zero_gravi
  -- Control and Status Registers Write Access ----------------------------------------------
1821
  -- -------------------------------------------------------------------------------------------
1822
  csr_write_access: process(rstn_i, clk_i)
1823 42 zero_gravi
    variable pmpaddr_v : std_ulogic_vector(6 downto 0);
1824 2 zero_gravi
  begin
1825
    if (rstn_i = '0') then
1826 40 zero_gravi
      csr.we           <= '0';
1827 11 zero_gravi
      --
1828 6 zero_gravi
      csr.mstatus_mie  <= '0';
1829
      csr.mstatus_mpie <= '0';
1830 29 zero_gravi
      csr.mstatus_mpp  <= priv_mode_m_c; -- start in MACHINE mode
1831
      csr.privilege    <= priv_mode_m_c; -- start in MACHINE mode
1832 6 zero_gravi
      csr.mie_msie     <= '0';
1833
      csr.mie_meie     <= '0';
1834
      csr.mie_mtie     <= '0';
1835 14 zero_gravi
      csr.mie_firqe    <= (others => '0');
1836 6 zero_gravi
      csr.mtvec        <= (others => '0');
1837 36 zero_gravi
      csr.mscratch     <= x"19880704"; -- :)
1838 12 zero_gravi
      csr.mepc         <= (others => '0');
1839 42 zero_gravi
      -- mcause = TRAP_CODE_RESET (hardware reset, "non-maskable interrupt")
1840 40 zero_gravi
      csr.mcause                               <= (others => '0');
1841
      csr.mcause(csr.mcause'left)              <= trap_reset_c(trap_reset_c'left);
1842
      csr.mcause(trap_reset_c'left-1 downto 0) <= trap_reset_c(trap_reset_c'left-1 downto 0);
1843
      --
1844 41 zero_gravi
      csr.mtval     <= (others => '0');
1845
      csr.mip_clear <= (others => '0');
1846 42 zero_gravi
      --
1847 41 zero_gravi
      csr.pmpcfg    <= (others => (others => '0'));
1848
      csr.pmpaddr   <= (others => (others => '1'));
1849 34 zero_gravi
      --
1850 42 zero_gravi
      csr.mhpmevent <= (others => (others => '0'));
1851 41 zero_gravi
      --
1852 42 zero_gravi
      csr.mcounteren_cy  <= '0';
1853
      csr.mcounteren_tm  <= '0';
1854
      csr.mcounteren_ir  <= '0';
1855
      csr.mcounteren_hpm <= (others => '0');
1856
      --
1857
      csr.mcountinhibit_cy  <= '0';
1858
      csr.mcountinhibit_ir  <= '0';
1859
      csr.mcountinhibit_hpm <= (others => '0');
1860 2 zero_gravi
    elsif rising_edge(clk_i) then
1861 29 zero_gravi
      -- write access? --
1862
      csr.we <= csr.we_nxt;
1863 36 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1864 4 zero_gravi
 
1865 40 zero_gravi
        -- defaults --
1866
        csr.mip_clear <= (others => '0');
1867
 
1868 36 zero_gravi
        -- --------------------------------------------------------------------------------
1869
        -- CSR access by application software
1870
        -- --------------------------------------------------------------------------------
1871
        if (csr.we = '1') then -- manual update
1872 41 zero_gravi
          case csr.addr is
1873 36 zero_gravi
 
1874
            -- machine trap setup --
1875
            -- --------------------------------------------------------------------
1876
            when csr_mstatus_c => -- R/W: mstatus - machine status register
1877
              csr.mstatus_mie  <= csr.wdata(03);
1878
              csr.mstatus_mpie <= csr.wdata(07);
1879
              if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
1880
                csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12);
1881
                csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12);
1882 40 zero_gravi
              else -- only machine mode is available
1883
                csr.mstatus_mpp <= priv_mode_m_c;
1884 36 zero_gravi
              end if;
1885 41 zero_gravi
            when csr_mie_c => -- R/W: mie - machine interrupt enable register
1886 29 zero_gravi
              csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable
1887
              csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable
1888
              csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable
1889
              --
1890
              csr.mie_firqe(0) <= csr.wdata(16); -- fast interrupt channel 0
1891
              csr.mie_firqe(1) <= csr.wdata(17); -- fast interrupt channel 1
1892
              csr.mie_firqe(2) <= csr.wdata(18); -- fast interrupt channel 2
1893
              csr.mie_firqe(3) <= csr.wdata(19); -- fast interrupt channel 3
1894 47 zero_gravi
              csr.mie_firqe(4) <= csr.wdata(20); -- fast interrupt channel 4
1895
              csr.mie_firqe(5) <= csr.wdata(21); -- fast interrupt channel 5
1896
              csr.mie_firqe(6) <= csr.wdata(22); -- fast interrupt channel 6
1897
              csr.mie_firqe(7) <= csr.wdata(22); -- fast interrupt channel 7
1898 36 zero_gravi
            when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
1899 29 zero_gravi
              csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1900 41 zero_gravi
            when csr_mcounteren_c => -- R/W: machine counter enable register
1901 42 zero_gravi
              csr.mcounteren_cy  <= csr.wdata(0); -- enable user-level access to cycle[h]
1902
              csr.mcounteren_tm  <= csr.wdata(1); -- enable user-level access to time[h]
1903
              csr.mcounteren_ir  <= csr.wdata(2); -- enable user-level access to instret[h]
1904
              csr.mcounteren_hpm <= csr.wdata(csr.mcounteren_hpm'left+3 downto 3); -- enable user-level access to mhpmcounterx[h]
1905 29 zero_gravi
 
1906 36 zero_gravi
            -- machine trap handling --
1907
            -- --------------------------------------------------------------------
1908
            when csr_mscratch_c =>  -- R/W: mscratch - machine scratch register
1909
              csr.mscratch <= csr.wdata;
1910
            when csr_mepc_c => -- R/W: mepc - machine exception program counter
1911
              csr.mepc <= csr.wdata(data_width_c-1 downto 1) & '0';
1912
            when csr_mcause_c => -- R/W: mcause - machine trap cause
1913
              csr.mcause <= (others => '0');
1914
              csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: interrupt, 0: exception
1915
              csr.mcause(4 downto 0)      <= csr.wdata(4 downto 0); -- identifier
1916 40 zero_gravi
            when csr_mtval_c => -- R/W: mtval - machine bad address/instruction
1917 36 zero_gravi
              csr.mtval <= csr.wdata;
1918 40 zero_gravi
            when csr_mip_c => -- R/W: mip - machine interrupt pending
1919
              csr.mip_clear(interrupt_msw_irq_c)   <= not csr.wdata(03);
1920
              csr.mip_clear(interrupt_mtime_irq_c) <= not csr.wdata(07);
1921
              csr.mip_clear(interrupt_mext_irq_c)  <= not csr.wdata(11);
1922
              --
1923 41 zero_gravi
              csr.mip_clear(interrupt_firq_0_c) <= not csr.wdata(16);
1924
              csr.mip_clear(interrupt_firq_1_c) <= not csr.wdata(17);
1925
              csr.mip_clear(interrupt_firq_2_c) <= not csr.wdata(18);
1926
              csr.mip_clear(interrupt_firq_3_c) <= not csr.wdata(19);
1927 47 zero_gravi
              csr.mip_clear(interrupt_firq_4_c) <= not csr.wdata(20);
1928
              csr.mip_clear(interrupt_firq_5_c) <= not csr.wdata(21);
1929
              csr.mip_clear(interrupt_firq_6_c) <= not csr.wdata(22);
1930
              csr.mip_clear(interrupt_firq_7_c) <= not csr.wdata(23);
1931 29 zero_gravi
 
1932 42 zero_gravi
            -- physical memory protection: R/W: pmpcfg* - PMP configuration registers --
1933 36 zero_gravi
            -- --------------------------------------------------------------------
1934 42 zero_gravi
            when csr_pmpcfg0_c | csr_pmpcfg1_c | csr_pmpcfg2_c  | csr_pmpcfg3_c  | csr_pmpcfg4_c  | csr_pmpcfg5_c  | csr_pmpcfg6_c  | csr_pmpcfg7_c |
1935
                 csr_pmpcfg8_c | csr_pmpcfg9_c | csr_pmpcfg10_c | csr_pmpcfg11_c | csr_pmpcfg12_c | csr_pmpcfg13_c | csr_pmpcfg14_c | csr_pmpcfg15_c =>
1936
              for i in 0 to PMP_NUM_REGIONS-1 loop
1937
                if (csr.addr(3 downto 0) = std_ulogic_vector(to_unsigned(i, 4))) then
1938
                  if (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpcfg access
1939
                    csr.pmpcfg(i)(0) <= csr.wdata((i mod 4)*8+0); -- R (rights.read)
1940
                    csr.pmpcfg(i)(1) <= csr.wdata((i mod 4)*8+1); -- W (rights.write)
1941
                    csr.pmpcfg(i)(2) <= csr.wdata((i mod 4)*8+2); -- X (rights.execute)
1942
                    csr.pmpcfg(i)(3) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_L
1943
                    csr.pmpcfg(i)(4) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_H - NAPOT/OFF only
1944
                    csr.pmpcfg(i)(5) <= '0'; -- reserved
1945
                    csr.pmpcfg(i)(6) <= '0'; -- reserved
1946
                    csr.pmpcfg(i)(7) <= csr.wdata((i mod 4)*8+7); -- L (locked / rights also enforced in m-mode)
1947 36 zero_gravi
                  end if;
1948 42 zero_gravi
                end if;
1949
              end loop; -- i (PMP regions)
1950 4 zero_gravi
 
1951 42 zero_gravi
            -- physical memory protection: R/W: pmpaddr* - PMP address registers --
1952 36 zero_gravi
            -- --------------------------------------------------------------------
1953 42 zero_gravi
            when csr_pmpaddr0_c  | csr_pmpaddr1_c  | csr_pmpaddr2_c  | csr_pmpaddr3_c  | csr_pmpaddr4_c  | csr_pmpaddr5_c  | csr_pmpaddr6_c  | csr_pmpaddr7_c  |
1954
                 csr_pmpaddr8_c  | csr_pmpaddr9_c  | csr_pmpaddr10_c | csr_pmpaddr11_c | csr_pmpaddr12_c | csr_pmpaddr13_c | csr_pmpaddr14_c | csr_pmpaddr15_c |
1955
                 csr_pmpaddr16_c | csr_pmpaddr17_c | csr_pmpaddr18_c | csr_pmpaddr19_c | csr_pmpaddr20_c | csr_pmpaddr21_c | csr_pmpaddr22_c | csr_pmpaddr23_c |
1956
                 csr_pmpaddr24_c | csr_pmpaddr25_c | csr_pmpaddr26_c | csr_pmpaddr27_c | csr_pmpaddr28_c | csr_pmpaddr29_c | csr_pmpaddr30_c | csr_pmpaddr31_c |
1957
                 csr_pmpaddr32_c | csr_pmpaddr33_c | csr_pmpaddr34_c | csr_pmpaddr35_c | csr_pmpaddr36_c | csr_pmpaddr37_c | csr_pmpaddr38_c | csr_pmpaddr39_c |
1958
                 csr_pmpaddr40_c | csr_pmpaddr41_c | csr_pmpaddr42_c | csr_pmpaddr43_c | csr_pmpaddr44_c | csr_pmpaddr45_c | csr_pmpaddr46_c | csr_pmpaddr47_c |
1959
                 csr_pmpaddr48_c | csr_pmpaddr49_c | csr_pmpaddr50_c | csr_pmpaddr51_c | csr_pmpaddr52_c | csr_pmpaddr53_c | csr_pmpaddr54_c | csr_pmpaddr55_c |
1960
                 csr_pmpaddr56_c | csr_pmpaddr57_c | csr_pmpaddr58_c | csr_pmpaddr59_c | csr_pmpaddr60_c | csr_pmpaddr61_c | csr_pmpaddr62_c | csr_pmpaddr63_c =>
1961
              for i in 0 to PMP_NUM_REGIONS-1 loop
1962
                pmpaddr_v := std_ulogic_vector(unsigned(csr_pmpaddr0_c(6 downto 0)) + i); -- adapt to *non-aligned* base address (csr_pmpaddr0_c)
1963
                if (csr.addr(6 downto 0) = pmpaddr_v) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
1964
                  csr.pmpaddr(i) <= csr.wdata;
1965
                  csr.pmpaddr(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
1966
                end if;
1967
              end loop; -- i (PMP regions)
1968 2 zero_gravi
 
1969 41 zero_gravi
            -- machine counter setup --
1970
            -- --------------------------------------------------------------------
1971
            when csr_mcountinhibit_c => -- R/W: mcountinhibit - machine counter-inhibit register
1972 42 zero_gravi
              csr.mcountinhibit_cy  <= csr.wdata(0); -- enable auto-increment of [m]cycle[h] counter
1973
              csr.mcountinhibit_ir  <= csr.wdata(2); -- enable auto-increment of [m]instret[h] counter
1974
              csr.mcountinhibit_hpm <= csr.wdata(csr.mcountinhibit_hpm'left+3 downto 3); -- enable auto-increment of [m]hpmcounter*[h] counter
1975 41 zero_gravi
 
1976 42 zero_gravi
            -- machine performance-monitoring event selector --
1977
            -- --------------------------------------------------------------------
1978
            when csr_mhpmevent3_c  | csr_mhpmevent4_c  | csr_mhpmevent5_c  | csr_mhpmevent6_c  | csr_mhpmevent7_c  | csr_mhpmevent8_c  |
1979
                 csr_mhpmevent9_c  | csr_mhpmevent10_c | csr_mhpmevent11_c | csr_mhpmevent12_c | csr_mhpmevent13_c | csr_mhpmevent14_c |
1980
                 csr_mhpmevent15_c | csr_mhpmevent16_c | csr_mhpmevent17_c | csr_mhpmevent18_c | csr_mhpmevent19_c | csr_mhpmevent20_c |
1981
                 csr_mhpmevent21_c | csr_mhpmevent22_c | csr_mhpmevent23_c | csr_mhpmevent24_c | csr_mhpmevent25_c | csr_mhpmevent26_c |
1982
                 csr_mhpmevent27_c | csr_mhpmevent28_c | csr_mhpmevent29_c | csr_mhpmevent30_c | csr_mhpmevent31_c => -- R/W: mhpmevent* - machine performance-monitoring event selector
1983
              for i in 0 to HPM_NUM_CNTS-1 loop
1984
                if (csr.addr(4 downto 0) = std_ulogic_vector(to_unsigned(i+3, 5))) then
1985
                  csr.mhpmevent(i) <= csr.wdata(csr.mhpmevent(i)'left downto 0);
1986 47 zero_gravi
                  csr.mhpmevent(i)(1) <= '0'; -- would be used for "TIME"
1987 42 zero_gravi
                end if;
1988
              end loop; -- i (CSRs)
1989
 
1990 36 zero_gravi
            -- undefined --
1991
            -- --------------------------------------------------------------------
1992
            when others =>
1993
              NULL;
1994 29 zero_gravi
 
1995 36 zero_gravi
          end case;
1996 29 zero_gravi
 
1997 36 zero_gravi
        -- --------------------------------------------------------------------------------
1998
        -- CSR access by hardware
1999
        -- --------------------------------------------------------------------------------
2000
        else
2001
 
2002 40 zero_gravi
          -- mcause, mepc, mtval: machine trap cause, PC and value register --
2003 36 zero_gravi
          -- --------------------------------------------------------------------
2004
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
2005 40 zero_gravi
            -- trap cause ID code --
2006
            csr.mcause <= (others => '0');
2007
            csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception
2008
            csr.mcause(4 downto 0)      <= trap_ctrl.cause(4 downto 0); -- identifier
2009
            -- trap PC --
2010 36 zero_gravi
            if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS
2011
              csr.mepc  <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
2012 40 zero_gravi
            else -- for EXCEPTIONS
2013 36 zero_gravi
              csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
2014 40 zero_gravi
            end if;
2015
            -- trap value --
2016
            case trap_ctrl.cause is
2017
              when trap_ima_c | trap_iba_c => -- misaligned instruction address OR instruction access error
2018 36 zero_gravi
                csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
2019 40 zero_gravi
              when trap_brk_c => -- breakpoint
2020
                csr.mtval <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- address of breakpoint instruction
2021
              when trap_lma_c | trap_lbe_c | trap_sma_c | trap_sbe_c => -- misaligned load/store address OR load/store access error
2022
                csr.mtval <= mar_i; -- faulting data access address
2023
              when trap_iil_c => -- illegal instruction
2024 36 zero_gravi
                csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself
2025 47 zero_gravi
              when others => -- everything else including all interrupts
2026 40 zero_gravi
                csr.mtval <= (others => '0');
2027
            end case;
2028 2 zero_gravi
          end if;
2029
 
2030 36 zero_gravi
          -- mstatus: context switch --
2031
          -- --------------------------------------------------------------------
2032
          if (trap_ctrl.env_start_ack = '1') then -- ENTER: trap handler starting?
2033
            csr.mstatus_mie  <= '0'; -- disable interrupts
2034
            csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
2035
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2036
              csr.privilege   <= priv_mode_m_c; -- execute trap in machine mode
2037
              csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode
2038 2 zero_gravi
            end if;
2039 36 zero_gravi
          elsif (trap_ctrl.env_end = '1') then -- EXIT: return from exception
2040
            csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
2041
            csr.mstatus_mpie <= '1';
2042
            if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2043
              csr.privilege   <= csr.mstatus_mpp; -- go back to previous privilege mode
2044 40 zero_gravi
              csr.mstatus_mpp <= priv_mode_m_c;
2045 30 zero_gravi
            end if;
2046 2 zero_gravi
          end if;
2047 36 zero_gravi
          -- user mode NOT implemented --
2048
          if (CPU_EXTENSION_RISCV_U = false) then
2049
            csr.privilege   <= priv_mode_m_c;
2050
            csr.mstatus_mpp <= priv_mode_m_c;
2051 15 zero_gravi
          end if;
2052 29 zero_gravi
 
2053 36 zero_gravi
        end if; -- hardware csr access
2054 29 zero_gravi
 
2055 34 zero_gravi
      end if;
2056 2 zero_gravi
    end if;
2057
  end process csr_write_access;
2058
 
2059 40 zero_gravi
  -- decode privilege mode --
2060
  csr.priv_m_mode <= '1' when (csr.privilege = priv_mode_m_c)  or (CPU_EXTENSION_RISCV_U = false) else '0';
2061
  csr.priv_u_mode <= '1' when (csr.privilege = priv_mode_u_c) and (CPU_EXTENSION_RISCV_U = true)  else '0';
2062
 
2063 36 zero_gravi
  -- PMP configuration output to bus unit --
2064 34 zero_gravi
  pmp_output: process(csr)
2065
  begin
2066
    pmp_addr_o <= (others => (others => '0'));
2067
    pmp_ctrl_o <= (others => (others => '0'));
2068 42 zero_gravi
    for i in 0 to PMP_NUM_REGIONS-1 loop
2069
      pmp_addr_o(i) <= csr.pmpaddr(i) & "11";
2070
      pmp_addr_o(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
2071
      pmp_ctrl_o(i) <= csr.pmpcfg(i);
2072
    end loop; -- i
2073
  end process pmp_output;
2074
 
2075
  -- PMP read dummy --
2076
  pmp_rd_dummy: process(csr)
2077
  begin
2078
    csr.pmpcfg_rd  <= (others => (others => '0'));
2079
    csr.pmpaddr_rd <= (others => (others => '0'));
2080
    for i in 0 to PMP_NUM_REGIONS-1 loop
2081
      csr.pmpcfg_rd(i)  <= csr.pmpcfg(i);
2082
      csr.pmpaddr_rd(i) <= csr.pmpaddr(i);
2083
      if (csr.pmpcfg(i)(4 downto 3) = "00") then -- mode = off
2084
        csr.pmpaddr_rd(i)(index_size_f(PMP_MIN_GRANULARITY)-3 downto 0) <= (others => '0'); -- required for granularity check by SW
2085
      end if;
2086
    end loop; -- i
2087
  end process pmp_rd_dummy;
2088
 
2089
 
2090
  -- Control and Status Registers - Counters ------------------------------------------------
2091
  -- -------------------------------------------------------------------------------------------
2092
  csr_counters: process(clk_i)
2093
  begin
2094
    -- Counter CSRs (each counter is split into two 32-bit counters)
2095
    if rising_edge(clk_i) then
2096
 
2097
      -- [m]cycle --
2098
      if (csr.we = '1') and (csr.addr = csr_mcycle_c) then -- write access
2099
        csr.mcycle <= '0' & csr.wdata;
2100
        mcycle_msb <= '0';
2101
      elsif (csr.mcountinhibit_cy = '0') and (cnt_event(hpmcnt_event_cy_c) = '1') then -- non-inhibited automatic update
2102
        csr.mcycle <= std_ulogic_vector(unsigned(csr.mcycle) + 1);
2103
        mcycle_msb <= csr.mcycle(csr.mcycle'left);
2104
      end if;
2105
 
2106
      -- [m]cycleh --
2107
      if (csr.we = '1') and (csr.addr = csr_mcycleh_c) then -- write access
2108
        csr.mcycleh <= csr.wdata;
2109
      elsif ((mcycle_msb xor csr.mcycle(csr.mcycle'left)) = '1') then -- automatic update (continued)
2110
        csr.mcycleh <= std_ulogic_vector(unsigned(csr.mcycleh) + 1);
2111
      end if;
2112
 
2113
      -- [m]instret --
2114
      if (csr.we = '1') and (csr.addr = csr_minstret_c) then -- write access
2115
        csr.minstret <= '0' & csr.wdata;
2116
        minstret_msb <= '0';
2117
      elsif (csr.mcountinhibit_ir = '0') and (cnt_event(hpmcnt_event_ir_c) = '1') and (cnt_event(hpmcnt_event_cy_c) = '1') then -- non-inhibited automatic update
2118
        csr.minstret <= std_ulogic_vector(unsigned(csr.minstret) + 1);
2119
        minstret_msb <= csr.minstret(csr.minstret'left);
2120
      end if;
2121
 
2122
      -- [m]instreth --
2123
      if (csr.we = '1') and (csr.addr = csr_minstreth_c) then -- write access
2124
        csr.minstreth <= csr.wdata;
2125
      elsif ((minstret_msb xor csr.minstret(csr.minstret'left)) = '1') then -- automatic update (continued)
2126
        csr.minstreth <= std_ulogic_vector(unsigned(csr.minstreth) + 1);
2127
      end if;
2128
 
2129 45 zero_gravi
      -- [machine] hardware performance monitors (counters) --
2130 42 zero_gravi
      for i in 0 to HPM_NUM_CNTS-1 loop
2131
        -- [m]hpmcounter* --
2132
        if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3_c) + i)) then -- write access
2133
          csr.mhpmcounter(i) <= '0' & csr.wdata;
2134
          mhpmcounter_msb(i) <= '0';
2135
        elsif (csr.mcountinhibit_hpm(i) = '0') and (hpmcnt_trigger(i) = '1') then -- non-inhibited automatic update
2136
          csr.mhpmcounter(i) <= std_ulogic_vector(unsigned(csr.mhpmcounter(i)) + 1);
2137
          mhpmcounter_msb(i) <= csr.mhpmcounter(i)(csr.mhpmcounter(i)'left);
2138
        end if;
2139
 
2140
        -- [m]hpmcounter*h --
2141
        if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3h_c) + i)) then -- write access
2142
          csr.mhpmcounterh(i) <= csr.wdata;
2143
        elsif ((mhpmcounter_msb(i) xor csr.mhpmcounter(i)(csr.mhpmcounter(i)'left)) = '1') then -- automatic update (continued)
2144
          csr.mhpmcounterh(i) <= std_ulogic_vector(unsigned(csr.mhpmcounterh(i)) + 1);
2145
        end if;
2146 34 zero_gravi
      end loop; -- i
2147 42 zero_gravi
 
2148 34 zero_gravi
    end if;
2149 42 zero_gravi
  end process csr_counters;
2150 34 zero_gravi
 
2151 42 zero_gravi
  -- hpm read dummy --
2152
  hpm_rd_dummy: process(csr)
2153
  begin
2154
    csr.mhpmevent_rd    <= (others => (others => '0'));
2155
    csr.mhpmcounter_rd  <= (others => (others => '0'));
2156
    csr.mhpmcounterh_rd <= (others => (others => '0'));
2157
    for i in 0 to HPM_NUM_CNTS-1 loop
2158
      csr.mhpmevent_rd(i)    <= csr.mhpmevent(i);
2159
      csr.mhpmcounter_rd(i)  <= csr.mhpmcounter(i);
2160
      csr.mhpmcounterh_rd(i) <= csr.mhpmcounterh(i);
2161
    end loop; -- i
2162
  end process hpm_rd_dummy;
2163 34 zero_gravi
 
2164 42 zero_gravi
 
2165
  -- (HPM) Counter Event Control ------------------------------------------------------------
2166
  -- -------------------------------------------------------------------------------------------
2167
  hpmcnt_ctrl: process(clk_i)
2168
  begin
2169
    if rising_edge(clk_i) then
2170 47 zero_gravi
      -- buffer event sources --
2171
      cnt_event <= cnt_event_nxt;
2172
      -- enable selected triggers by ANDing actual events and according CSR configuration bits --
2173
      -- OR everything to see if counter should increment --
2174 42 zero_gravi
      hpmcnt_trigger <= (others => '0'); -- default
2175
      for i in 0 to HPM_NUM_CNTS-1 loop
2176 47 zero_gravi
        hpmcnt_trigger(i) <= or_all_f(cnt_event and csr.mhpmevent(i)(cnt_event'left downto 0));
2177 42 zero_gravi
      end loop; -- i
2178
    end if;
2179
  end process hpmcnt_ctrl;
2180
 
2181
  -- counter event trigger - RISC-V specific --
2182
  cnt_event_nxt(hpmcnt_event_cy_c)    <= not execute_engine.sleep; -- active cycle
2183
  cnt_event_nxt(hpmcnt_event_never_c) <= '0'; -- undefined (never)
2184
  cnt_event_nxt(hpmcnt_event_ir_c)    <= '1' when (execute_engine.state = EXECUTE) else '0'; -- retired instruction
2185
 
2186
  -- counter event trigger - custom / NEORV32-specific --
2187 47 zero_gravi
  cnt_event_nxt(hpmcnt_event_cir_c)     <= '1' when (execute_engine.state = EXECUTE)      and (execute_engine.is_ci = '1')             else '0'; -- retired compressed instruction
2188
  cnt_event_nxt(hpmcnt_event_wait_if_c) <= '1' when (fetch_engine.state   = IFETCH_ISSUE) and (fetch_engine.state_prev = IFETCH_ISSUE) else '0'; -- instruction fetch memory wait cycle
2189
  cnt_event_nxt(hpmcnt_event_wait_ii_c) <= '1' when (execute_engine.state = DISPATCH)     and (execute_engine.state_prev = DISPATCH)   else '0'; -- instruction issue wait cycle
2190
  cnt_event_nxt(hpmcnt_event_wait_mc_c) <= '1' when (execute_engine.state = ALU_WAIT)     and (execute_engine.state_prev = ALU_WAIT)   else '0'; -- multi-cycle alu-operation wait cycle
2191 42 zero_gravi
 
2192
  cnt_event_nxt(hpmcnt_event_load_c)    <= '1' when (execute_engine.state = LOADSTORE_1) and (ctrl(ctrl_bus_rd_c) = '1')               else '0'; -- load operation
2193
  cnt_event_nxt(hpmcnt_event_store_c)   <= '1' when (execute_engine.state = LOADSTORE_1) and (ctrl(ctrl_bus_wr_c) = '1')               else '0'; -- store operation
2194
  cnt_event_nxt(hpmcnt_event_wait_ls_c) <= '1' when (execute_engine.state = LOADSTORE_2) and (execute_engine.state_prev = LOADSTORE_2) else '0'; -- load/store memory wait cycle
2195
 
2196
  cnt_event_nxt(hpmcnt_event_jump_c)    <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') else '0'; -- jump (unconditional)
2197
  cnt_event_nxt(hpmcnt_event_branch_c)  <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') else '0'; -- branch (conditional, taken or not taken)
2198
  cnt_event_nxt(hpmcnt_event_tbranch_c) <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') and (execute_engine.branch_taken = '1') else '0'; -- taken branch (conditional)
2199
 
2200
  cnt_event_nxt(hpmcnt_event_trap_c)    <= '1' when (trap_ctrl.env_start_ack = '1')                                    else '0'; -- entered trap
2201
  cnt_event_nxt(hpmcnt_event_illegal_c) <= '1' when (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause = trap_iil_c) else '0'; -- illegal operation
2202
 
2203
 
2204 2 zero_gravi
  -- Control and Status Registers Read Access -----------------------------------------------
2205
  -- -------------------------------------------------------------------------------------------
2206
  csr_read_access: process(clk_i)
2207
  begin
2208
    if rising_edge(clk_i) then
2209 29 zero_gravi
      csr.re    <= csr.re_nxt; -- read access?
2210 35 zero_gravi
      csr.rdata <= (others => '0'); -- default output
2211 11 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.re = '1') then
2212 41 zero_gravi
        case csr.addr is
2213 11 zero_gravi
 
2214
          -- machine trap setup --
2215 29 zero_gravi
          when csr_mstatus_c => -- R/W: mstatus - machine status register
2216 41 zero_gravi
            csr.rdata(03) <= csr.mstatus_mie; -- MIE
2217
            csr.rdata(06) <= '1' and bool_to_ulogic_f(CPU_EXTENSION_RISCV_U); -- UBE: CPU/Processor is BIG-ENDIAN (in user-mode)
2218 27 zero_gravi
            csr.rdata(07) <= csr.mstatus_mpie; -- MPIE
2219 29 zero_gravi
            csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low
2220
            csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high
2221 40 zero_gravi
          when csr_mstatush_c => -- R/-: mstatush - machine status register - high part
2222 41 zero_gravi
            csr.rdata(05) <= '1'; -- MBE: CPU/Processor is BIG-ENDIAN (in machine-mode)
2223 29 zero_gravi
          when csr_misa_c => -- R/-: misa - ISA and extensions
2224 39 zero_gravi
            csr.rdata(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A);     -- A CPU extension
2225 44 zero_gravi
            csr.rdata(01) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B);     -- B CPU extension
2226 27 zero_gravi
            csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
2227
            csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
2228
            csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
2229
            csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
2230
            csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
2231
            csr.rdata(23) <= '1';                                         -- X CPU extension (non-std extensions)
2232
            csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo)
2233
            csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi)
2234 29 zero_gravi
          when csr_mie_c => -- R/W: mie - machine interrupt-enable register
2235 27 zero_gravi
            csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable
2236
            csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable
2237
            csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable
2238 14 zero_gravi
            --
2239 27 zero_gravi
            csr.rdata(16) <= csr.mie_firqe(0); -- fast interrupt channel 0
2240
            csr.rdata(17) <= csr.mie_firqe(1); -- fast interrupt channel 1
2241
            csr.rdata(18) <= csr.mie_firqe(2); -- fast interrupt channel 2
2242
            csr.rdata(19) <= csr.mie_firqe(3); -- fast interrupt channel 3
2243 47 zero_gravi
            csr.rdata(20) <= csr.mie_firqe(4); -- fast interrupt channel 4
2244
            csr.rdata(21) <= csr.mie_firqe(5); -- fast interrupt channel 5
2245
            csr.rdata(22) <= csr.mie_firqe(6); -- fast interrupt channel 6
2246
            csr.rdata(23) <= csr.mie_firqe(7); -- fast interrupt channel 7
2247 29 zero_gravi
          when csr_mtvec_c => -- R/W: mtvec - machine trap-handler base address (for ALL exceptions)
2248 27 zero_gravi
            csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
2249 41 zero_gravi
          when csr_mcounteren_c => -- R/W: machine counter enable register
2250
            csr.rdata(0) <= csr.mcounteren_cy; -- enable user-level access to cycle[h]
2251
            csr.rdata(1) <= csr.mcounteren_tm; -- enable user-level access to time[h]
2252
            csr.rdata(2) <= csr.mcounteren_ir; -- enable user-level access to instret[h]
2253 42 zero_gravi
            csr.rdata(csr.mcounteren_hpm'left+3 downto 3) <= csr.mcounteren_hpm; -- enable user-level access to hpmcounterx[h]
2254 11 zero_gravi
 
2255
          -- machine trap handling --
2256 29 zero_gravi
          when csr_mscratch_c => -- R/W: mscratch - machine scratch register
2257 27 zero_gravi
            csr.rdata <= csr.mscratch;
2258 29 zero_gravi
          when csr_mepc_c => -- R/W: mepc - machine exception program counter
2259 27 zero_gravi
            csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0';
2260 35 zero_gravi
          when csr_mcause_c => -- R/W: mcause - machine trap cause
2261 27 zero_gravi
            csr.rdata <= csr.mcause;
2262 29 zero_gravi
          when csr_mtval_c => -- R/W: mtval - machine bad address or instruction
2263 27 zero_gravi
            csr.rdata <= csr.mtval;
2264 29 zero_gravi
          when csr_mip_c => -- R/W: mip - machine interrupt pending
2265 40 zero_gravi
            csr.rdata(03) <= csr.mip_status(interrupt_msw_irq_c);
2266
            csr.rdata(07) <= csr.mip_status(interrupt_mtime_irq_c);
2267
            csr.rdata(11) <= csr.mip_status(interrupt_mext_irq_c);
2268 14 zero_gravi
            --
2269 40 zero_gravi
            csr.rdata(16) <= csr.mip_status(interrupt_firq_0_c);
2270
            csr.rdata(17) <= csr.mip_status(interrupt_firq_1_c);
2271
            csr.rdata(18) <= csr.mip_status(interrupt_firq_2_c);
2272
            csr.rdata(19) <= csr.mip_status(interrupt_firq_3_c);
2273 47 zero_gravi
            csr.rdata(20) <= csr.mip_status(interrupt_firq_4_c);
2274
            csr.rdata(21) <= csr.mip_status(interrupt_firq_5_c);
2275
            csr.rdata(22) <= csr.mip_status(interrupt_firq_6_c);
2276
            csr.rdata(23) <= csr.mip_status(interrupt_firq_7_c);
2277 11 zero_gravi
 
2278 37 zero_gravi
          -- physical memory protection - configuration --
2279 42 zero_gravi
          when csr_pmpcfg0_c  => csr.rdata <= csr.pmpcfg_rd(03) & csr.pmpcfg_rd(02) & csr.pmpcfg_rd(01) & csr.pmpcfg_rd(00); -- R/W: pmpcfg0
2280
          when csr_pmpcfg1_c  => csr.rdata <= csr.pmpcfg_rd(07) & csr.pmpcfg_rd(06) & csr.pmpcfg_rd(05) & csr.pmpcfg_rd(04); -- R/W: pmpcfg1
2281
          when csr_pmpcfg2_c  => csr.rdata <= csr.pmpcfg_rd(11) & csr.pmpcfg_rd(10) & csr.pmpcfg_rd(09) & csr.pmpcfg_rd(08); -- R/W: pmpcfg2
2282
          when csr_pmpcfg3_c  => csr.rdata <= csr.pmpcfg_rd(15) & csr.pmpcfg_rd(14) & csr.pmpcfg_rd(13) & csr.pmpcfg_rd(12); -- R/W: pmpcfg3
2283
          when csr_pmpcfg4_c  => csr.rdata <= csr.pmpcfg_rd(19) & csr.pmpcfg_rd(18) & csr.pmpcfg_rd(17) & csr.pmpcfg_rd(16); -- R/W: pmpcfg4
2284
          when csr_pmpcfg5_c  => csr.rdata <= csr.pmpcfg_rd(23) & csr.pmpcfg_rd(22) & csr.pmpcfg_rd(21) & csr.pmpcfg_rd(20); -- R/W: pmpcfg5
2285
          when csr_pmpcfg6_c  => csr.rdata <= csr.pmpcfg_rd(27) & csr.pmpcfg_rd(26) & csr.pmpcfg_rd(25) & csr.pmpcfg_rd(24); -- R/W: pmpcfg6
2286
          when csr_pmpcfg7_c  => csr.rdata <= csr.pmpcfg_rd(31) & csr.pmpcfg_rd(30) & csr.pmpcfg_rd(29) & csr.pmpcfg_rd(28); -- R/W: pmpcfg7
2287
          when csr_pmpcfg8_c  => csr.rdata <= csr.pmpcfg_rd(35) & csr.pmpcfg_rd(34) & csr.pmpcfg_rd(33) & csr.pmpcfg_rd(32); -- R/W: pmpcfg8
2288
          when csr_pmpcfg9_c  => csr.rdata <= csr.pmpcfg_rd(39) & csr.pmpcfg_rd(38) & csr.pmpcfg_rd(37) & csr.pmpcfg_rd(36); -- R/W: pmpcfg9
2289
          when csr_pmpcfg10_c => csr.rdata <= csr.pmpcfg_rd(43) & csr.pmpcfg_rd(42) & csr.pmpcfg_rd(41) & csr.pmpcfg_rd(40); -- R/W: pmpcfg10
2290
          when csr_pmpcfg11_c => csr.rdata <= csr.pmpcfg_rd(47) & csr.pmpcfg_rd(46) & csr.pmpcfg_rd(45) & csr.pmpcfg_rd(44); -- R/W: pmpcfg11
2291
          when csr_pmpcfg12_c => csr.rdata <= csr.pmpcfg_rd(51) & csr.pmpcfg_rd(50) & csr.pmpcfg_rd(49) & csr.pmpcfg_rd(48); -- R/W: pmpcfg12
2292
          when csr_pmpcfg13_c => csr.rdata <= csr.pmpcfg_rd(55) & csr.pmpcfg_rd(54) & csr.pmpcfg_rd(53) & csr.pmpcfg_rd(52); -- R/W: pmpcfg13
2293
          when csr_pmpcfg14_c => csr.rdata <= csr.pmpcfg_rd(59) & csr.pmpcfg_rd(58) & csr.pmpcfg_rd(57) & csr.pmpcfg_rd(56); -- R/W: pmpcfg14
2294
          when csr_pmpcfg15_c => csr.rdata <= csr.pmpcfg_rd(63) & csr.pmpcfg_rd(62) & csr.pmpcfg_rd(61) & csr.pmpcfg_rd(60); -- R/W: pmpcfg15
2295 15 zero_gravi
 
2296 37 zero_gravi
          -- physical memory protection - addresses --
2297 42 zero_gravi
          when csr_pmpaddr0_c  => csr.rdata <= csr.pmpaddr_rd(00); -- R/W: pmpaddr0
2298
          when csr_pmpaddr1_c  => csr.rdata <= csr.pmpaddr_rd(01); -- R/W: pmpaddr1
2299
          when csr_pmpaddr2_c  => csr.rdata <= csr.pmpaddr_rd(02); -- R/W: pmpaddr2
2300
          when csr_pmpaddr3_c  => csr.rdata <= csr.pmpaddr_rd(03); -- R/W: pmpaddr3
2301
          when csr_pmpaddr4_c  => csr.rdata <= csr.pmpaddr_rd(04); -- R/W: pmpaddr4
2302
          when csr_pmpaddr5_c  => csr.rdata <= csr.pmpaddr_rd(05); -- R/W: pmpaddr5
2303
          when csr_pmpaddr6_c  => csr.rdata <= csr.pmpaddr_rd(06); -- R/W: pmpaddr6
2304
          when csr_pmpaddr7_c  => csr.rdata <= csr.pmpaddr_rd(07); -- R/W: pmpaddr7
2305
          when csr_pmpaddr8_c  => csr.rdata <= csr.pmpaddr_rd(08); -- R/W: pmpaddr8
2306
          when csr_pmpaddr9_c  => csr.rdata <= csr.pmpaddr_rd(09); -- R/W: pmpaddr9
2307
          when csr_pmpaddr10_c => csr.rdata <= csr.pmpaddr_rd(10); -- R/W: pmpaddr10
2308
          when csr_pmpaddr11_c => csr.rdata <= csr.pmpaddr_rd(11); -- R/W: pmpaddr11
2309
          when csr_pmpaddr12_c => csr.rdata <= csr.pmpaddr_rd(12); -- R/W: pmpaddr12
2310
          when csr_pmpaddr13_c => csr.rdata <= csr.pmpaddr_rd(13); -- R/W: pmpaddr13
2311
          when csr_pmpaddr14_c => csr.rdata <= csr.pmpaddr_rd(14); -- R/W: pmpaddr14
2312
          when csr_pmpaddr15_c => csr.rdata <= csr.pmpaddr_rd(15); -- R/W: pmpaddr15
2313
          when csr_pmpaddr16_c => csr.rdata <= csr.pmpaddr_rd(16); -- R/W: pmpaddr16
2314
          when csr_pmpaddr17_c => csr.rdata <= csr.pmpaddr_rd(17); -- R/W: pmpaddr17
2315
          when csr_pmpaddr18_c => csr.rdata <= csr.pmpaddr_rd(18); -- R/W: pmpaddr18
2316
          when csr_pmpaddr19_c => csr.rdata <= csr.pmpaddr_rd(19); -- R/W: pmpaddr19
2317
          when csr_pmpaddr20_c => csr.rdata <= csr.pmpaddr_rd(20); -- R/W: pmpaddr20
2318
          when csr_pmpaddr21_c => csr.rdata <= csr.pmpaddr_rd(21); -- R/W: pmpaddr21
2319
          when csr_pmpaddr22_c => csr.rdata <= csr.pmpaddr_rd(22); -- R/W: pmpaddr22
2320
          when csr_pmpaddr23_c => csr.rdata <= csr.pmpaddr_rd(23); -- R/W: pmpaddr23
2321
          when csr_pmpaddr24_c => csr.rdata <= csr.pmpaddr_rd(24); -- R/W: pmpaddr24
2322
          when csr_pmpaddr25_c => csr.rdata <= csr.pmpaddr_rd(25); -- R/W: pmpaddr25
2323
          when csr_pmpaddr26_c => csr.rdata <= csr.pmpaddr_rd(26); -- R/W: pmpaddr26
2324
          when csr_pmpaddr27_c => csr.rdata <= csr.pmpaddr_rd(27); -- R/W: pmpaddr27
2325
          when csr_pmpaddr28_c => csr.rdata <= csr.pmpaddr_rd(28); -- R/W: pmpaddr28
2326
          when csr_pmpaddr29_c => csr.rdata <= csr.pmpaddr_rd(29); -- R/W: pmpaddr29
2327
          when csr_pmpaddr30_c => csr.rdata <= csr.pmpaddr_rd(30); -- R/W: pmpaddr30
2328
          when csr_pmpaddr31_c => csr.rdata <= csr.pmpaddr_rd(31); -- R/W: pmpaddr31
2329
          when csr_pmpaddr32_c => csr.rdata <= csr.pmpaddr_rd(32); -- R/W: pmpaddr32
2330
          when csr_pmpaddr33_c => csr.rdata <= csr.pmpaddr_rd(33); -- R/W: pmpaddr33
2331
          when csr_pmpaddr34_c => csr.rdata <= csr.pmpaddr_rd(34); -- R/W: pmpaddr34
2332
          when csr_pmpaddr35_c => csr.rdata <= csr.pmpaddr_rd(35); -- R/W: pmpaddr35
2333
          when csr_pmpaddr36_c => csr.rdata <= csr.pmpaddr_rd(36); -- R/W: pmpaddr36
2334
          when csr_pmpaddr37_c => csr.rdata <= csr.pmpaddr_rd(37); -- R/W: pmpaddr37
2335
          when csr_pmpaddr38_c => csr.rdata <= csr.pmpaddr_rd(38); -- R/W: pmpaddr38
2336
          when csr_pmpaddr39_c => csr.rdata <= csr.pmpaddr_rd(39); -- R/W: pmpaddr39
2337
          when csr_pmpaddr40_c => csr.rdata <= csr.pmpaddr_rd(40); -- R/W: pmpaddr40
2338
          when csr_pmpaddr41_c => csr.rdata <= csr.pmpaddr_rd(41); -- R/W: pmpaddr41
2339
          when csr_pmpaddr42_c => csr.rdata <= csr.pmpaddr_rd(42); -- R/W: pmpaddr42
2340
          when csr_pmpaddr43_c => csr.rdata <= csr.pmpaddr_rd(43); -- R/W: pmpaddr43
2341
          when csr_pmpaddr44_c => csr.rdata <= csr.pmpaddr_rd(44); -- R/W: pmpaddr44
2342
          when csr_pmpaddr45_c => csr.rdata <= csr.pmpaddr_rd(45); -- R/W: pmpaddr45
2343
          when csr_pmpaddr46_c => csr.rdata <= csr.pmpaddr_rd(46); -- R/W: pmpaddr46
2344
          when csr_pmpaddr47_c => csr.rdata <= csr.pmpaddr_rd(47); -- R/W: pmpaddr47
2345
          when csr_pmpaddr48_c => csr.rdata <= csr.pmpaddr_rd(48); -- R/W: pmpaddr48
2346
          when csr_pmpaddr49_c => csr.rdata <= csr.pmpaddr_rd(49); -- R/W: pmpaddr49
2347
          when csr_pmpaddr50_c => csr.rdata <= csr.pmpaddr_rd(50); -- R/W: pmpaddr50
2348
          when csr_pmpaddr51_c => csr.rdata <= csr.pmpaddr_rd(51); -- R/W: pmpaddr51
2349
          when csr_pmpaddr52_c => csr.rdata <= csr.pmpaddr_rd(52); -- R/W: pmpaddr52
2350
          when csr_pmpaddr53_c => csr.rdata <= csr.pmpaddr_rd(53); -- R/W: pmpaddr53
2351
          when csr_pmpaddr54_c => csr.rdata <= csr.pmpaddr_rd(54); -- R/W: pmpaddr54
2352
          when csr_pmpaddr55_c => csr.rdata <= csr.pmpaddr_rd(55); -- R/W: pmpaddr55
2353
          when csr_pmpaddr56_c => csr.rdata <= csr.pmpaddr_rd(56); -- R/W: pmpaddr56
2354
          when csr_pmpaddr57_c => csr.rdata <= csr.pmpaddr_rd(57); -- R/W: pmpaddr57
2355
          when csr_pmpaddr58_c => csr.rdata <= csr.pmpaddr_rd(58); -- R/W: pmpaddr58
2356
          when csr_pmpaddr59_c => csr.rdata <= csr.pmpaddr_rd(59); -- R/W: pmpaddr59
2357
          when csr_pmpaddr60_c => csr.rdata <= csr.pmpaddr_rd(60); -- R/W: pmpaddr60
2358
          when csr_pmpaddr61_c => csr.rdata <= csr.pmpaddr_rd(61); -- R/W: pmpaddr61
2359
          when csr_pmpaddr62_c => csr.rdata <= csr.pmpaddr_rd(62); -- R/W: pmpaddr62
2360
          when csr_pmpaddr63_c => csr.rdata <= csr.pmpaddr_rd(63); -- R/W: pmpaddr63
2361 15 zero_gravi
 
2362 41 zero_gravi
          -- machine counter setup --
2363
          -- --------------------------------------------------------------------
2364
          when csr_mcountinhibit_c => -- R/W: mcountinhibit - machine counter-inhibit register
2365
            csr.rdata(0) <= csr.mcountinhibit_cy; -- enable auto-increment of [m]cycle[h] counter
2366
            csr.rdata(2) <= csr.mcountinhibit_ir; -- enable auto-increment of [m]instret[h] counter
2367 42 zero_gravi
            csr.rdata(csr.mcountinhibit_hpm'left+3 downto 3) <= csr.mcountinhibit_hpm; -- enable auto-increment of [m]hpmcounterx[h] counter
2368 41 zero_gravi
 
2369 42 zero_gravi
          -- machine performance-monitoring event selector --
2370
          when csr_mhpmevent3_c  => csr.rdata(csr.mhpmevent_rd(00)'left downto 0) <= csr.mhpmevent_rd(00); -- R/W: mhpmevent3
2371
          when csr_mhpmevent4_c  => csr.rdata(csr.mhpmevent_rd(01)'left downto 0) <= csr.mhpmevent_rd(01); -- R/W: mhpmevent4
2372
          when csr_mhpmevent5_c  => csr.rdata(csr.mhpmevent_rd(02)'left downto 0) <= csr.mhpmevent_rd(02); -- R/W: mhpmevent5
2373
          when csr_mhpmevent6_c  => csr.rdata(csr.mhpmevent_rd(03)'left downto 0) <= csr.mhpmevent_rd(03); -- R/W: mhpmevent6
2374
          when csr_mhpmevent7_c  => csr.rdata(csr.mhpmevent_rd(04)'left downto 0) <= csr.mhpmevent_rd(04); -- R/W: mhpmevent7
2375
          when csr_mhpmevent8_c  => csr.rdata(csr.mhpmevent_rd(05)'left downto 0) <= csr.mhpmevent_rd(05); -- R/W: mhpmevent8
2376
          when csr_mhpmevent9_c  => csr.rdata(csr.mhpmevent_rd(06)'left downto 0) <= csr.mhpmevent_rd(06); -- R/W: mhpmevent9
2377
          when csr_mhpmevent10_c => csr.rdata(csr.mhpmevent_rd(07)'left downto 0) <= csr.mhpmevent_rd(07); -- R/W: mhpmevent10
2378
          when csr_mhpmevent11_c => csr.rdata(csr.mhpmevent_rd(08)'left downto 0) <= csr.mhpmevent_rd(08); -- R/W: mhpmevent11
2379
          when csr_mhpmevent12_c => csr.rdata(csr.mhpmevent_rd(09)'left downto 0) <= csr.mhpmevent_rd(09); -- R/W: mhpmevent12
2380
          when csr_mhpmevent13_c => csr.rdata(csr.mhpmevent_rd(10)'left downto 0) <= csr.mhpmevent_rd(10); -- R/W: mhpmevent13
2381
          when csr_mhpmevent14_c => csr.rdata(csr.mhpmevent_rd(11)'left downto 0) <= csr.mhpmevent_rd(11); -- R/W: mhpmevent14
2382
          when csr_mhpmevent15_c => csr.rdata(csr.mhpmevent_rd(12)'left downto 0) <= csr.mhpmevent_rd(12); -- R/W: mhpmevent15
2383
          when csr_mhpmevent16_c => csr.rdata(csr.mhpmevent_rd(13)'left downto 0) <= csr.mhpmevent_rd(13); -- R/W: mhpmevent16
2384
          when csr_mhpmevent17_c => csr.rdata(csr.mhpmevent_rd(14)'left downto 0) <= csr.mhpmevent_rd(14); -- R/W: mhpmevent17
2385
          when csr_mhpmevent18_c => csr.rdata(csr.mhpmevent_rd(15)'left downto 0) <= csr.mhpmevent_rd(15); -- R/W: mhpmevent18
2386
          when csr_mhpmevent19_c => csr.rdata(csr.mhpmevent_rd(16)'left downto 0) <= csr.mhpmevent_rd(16); -- R/W: mhpmevent19
2387
          when csr_mhpmevent20_c => csr.rdata(csr.mhpmevent_rd(17)'left downto 0) <= csr.mhpmevent_rd(17); -- R/W: mhpmevent20
2388
          when csr_mhpmevent21_c => csr.rdata(csr.mhpmevent_rd(18)'left downto 0) <= csr.mhpmevent_rd(18); -- R/W: mhpmevent21
2389
          when csr_mhpmevent22_c => csr.rdata(csr.mhpmevent_rd(19)'left downto 0) <= csr.mhpmevent_rd(19); -- R/W: mhpmevent22
2390
          when csr_mhpmevent23_c => csr.rdata(csr.mhpmevent_rd(20)'left downto 0) <= csr.mhpmevent_rd(20); -- R/W: mhpmevent23
2391
          when csr_mhpmevent24_c => csr.rdata(csr.mhpmevent_rd(21)'left downto 0) <= csr.mhpmevent_rd(21); -- R/W: mhpmevent24
2392
          when csr_mhpmevent25_c => csr.rdata(csr.mhpmevent_rd(22)'left downto 0) <= csr.mhpmevent_rd(22); -- R/W: mhpmevent25
2393
          when csr_mhpmevent26_c => csr.rdata(csr.mhpmevent_rd(23)'left downto 0) <= csr.mhpmevent_rd(23); -- R/W: mhpmevent26
2394
          when csr_mhpmevent27_c => csr.rdata(csr.mhpmevent_rd(24)'left downto 0) <= csr.mhpmevent_rd(24); -- R/W: mhpmevent27
2395
          when csr_mhpmevent28_c => csr.rdata(csr.mhpmevent_rd(25)'left downto 0) <= csr.mhpmevent_rd(25); -- R/W: mhpmevent28
2396
          when csr_mhpmevent29_c => csr.rdata(csr.mhpmevent_rd(26)'left downto 0) <= csr.mhpmevent_rd(26); -- R/W: mhpmevent29
2397
          when csr_mhpmevent30_c => csr.rdata(csr.mhpmevent_rd(27)'left downto 0) <= csr.mhpmevent_rd(27); -- R/W: mhpmevent30
2398
          when csr_mhpmevent31_c => csr.rdata(csr.mhpmevent_rd(28)'left downto 0) <= csr.mhpmevent_rd(28); -- R/W: mhpmevent31
2399
 
2400 29 zero_gravi
          -- counters and timers --
2401 42 zero_gravi
          when csr_cycle_c | csr_mcycle_c => -- (R)/(W): [m]cycle: Cycle counter LOW
2402 27 zero_gravi
            csr.rdata <= csr.mcycle(31 downto 0);
2403 42 zero_gravi
          when csr_time_c => -- (R)/-: time: System time LOW (from MTIME unit)
2404 27 zero_gravi
            csr.rdata <= time_i(31 downto 0);
2405 42 zero_gravi
          when csr_instret_c | csr_minstret_c => -- (R)/(W): [m]instret: Instructions-retired counter LOW
2406 27 zero_gravi
            csr.rdata <= csr.minstret(31 downto 0);
2407 42 zero_gravi
          when csr_cycleh_c | csr_mcycleh_c => -- (R)/(W): [m]cycleh: Cycle counter HIGH
2408 27 zero_gravi
            csr.rdata <= csr.mcycleh(31 downto 0);
2409 42 zero_gravi
          when csr_timeh_c => -- (R)/-: timeh: System time HIGH (from MTIME unit)
2410 27 zero_gravi
            csr.rdata <= time_i(63 downto 32);
2411 42 zero_gravi
          when csr_instreth_c | csr_minstreth_c => -- (R)/(W): [m]instreth: Instructions-retired counter HIGH
2412 27 zero_gravi
            csr.rdata <= csr.minstreth(31 downto 0);
2413 11 zero_gravi
 
2414 42 zero_gravi
          -- hardware performance counters --
2415
          when csr_hpmcounter3_c   | csr_mhpmcounter3_c   => csr.rdata <= csr.mhpmcounter_rd(00)(31 downto 0); -- (R)/(W): [m]hpmcounter3 - low
2416
          when csr_hpmcounter4_c   | csr_mhpmcounter4_c   => csr.rdata <= csr.mhpmcounter_rd(01)(31 downto 0); -- (R)/(W): [m]hpmcounter4 - low
2417
          when csr_hpmcounter5_c   | csr_mhpmcounter5_c   => csr.rdata <= csr.mhpmcounter_rd(02)(31 downto 0); -- (R)/(W): [m]hpmcounter5 - low
2418
          when csr_hpmcounter6_c   | csr_mhpmcounter6_c   => csr.rdata <= csr.mhpmcounter_rd(03)(31 downto 0); -- (R)/(W): [m]hpmcounter6 - low
2419
          when csr_hpmcounter7_c   | csr_mhpmcounter7_c   => csr.rdata <= csr.mhpmcounter_rd(04)(31 downto 0); -- (R)/(W): [m]hpmcounter7 - low
2420
          when csr_hpmcounter8_c   | csr_mhpmcounter8_c   => csr.rdata <= csr.mhpmcounter_rd(05)(31 downto 0); -- (R)/(W): [m]hpmcounter8 - low
2421
          when csr_hpmcounter9_c   | csr_mhpmcounter9_c   => csr.rdata <= csr.mhpmcounter_rd(06)(31 downto 0); -- (R)/(W): [m]hpmcounter9 - low
2422
          when csr_hpmcounter10_c  | csr_mhpmcounter10_c  => csr.rdata <= csr.mhpmcounter_rd(07)(31 downto 0); -- (R)/(W): [m]hpmcounter10 - low
2423
          when csr_hpmcounter11_c  | csr_mhpmcounter11_c  => csr.rdata <= csr.mhpmcounter_rd(08)(31 downto 0); -- (R)/(W): [m]hpmcounter11 - low
2424
          when csr_hpmcounter12_c  | csr_mhpmcounter12_c  => csr.rdata <= csr.mhpmcounter_rd(09)(31 downto 0); -- (R)/(W): [m]hpmcounter12 - low
2425
          when csr_hpmcounter13_c  | csr_mhpmcounter13_c  => csr.rdata <= csr.mhpmcounter_rd(10)(31 downto 0); -- (R)/(W): [m]hpmcounter13 - low
2426
          when csr_hpmcounter14_c  | csr_mhpmcounter14_c  => csr.rdata <= csr.mhpmcounter_rd(11)(31 downto 0); -- (R)/(W): [m]hpmcounter14 - low
2427
          when csr_hpmcounter15_c  | csr_mhpmcounter15_c  => csr.rdata <= csr.mhpmcounter_rd(12)(31 downto 0); -- (R)/(W): [m]hpmcounter15 - low
2428
          when csr_hpmcounter16_c  | csr_mhpmcounter16_c  => csr.rdata <= csr.mhpmcounter_rd(13)(31 downto 0); -- (R)/(W): [m]hpmcounter16 - low
2429
          when csr_hpmcounter17_c  | csr_mhpmcounter17_c  => csr.rdata <= csr.mhpmcounter_rd(14)(31 downto 0); -- (R)/(W): [m]hpmcounter17 - low
2430
          when csr_hpmcounter18_c  | csr_mhpmcounter18_c  => csr.rdata <= csr.mhpmcounter_rd(15)(31 downto 0); -- (R)/(W): [m]hpmcounter18 - low
2431
          when csr_hpmcounter19_c  | csr_mhpmcounter19_c  => csr.rdata <= csr.mhpmcounter_rd(16)(31 downto 0); -- (R)/(W): [m]hpmcounter19 - low
2432
          when csr_hpmcounter20_c  | csr_mhpmcounter20_c  => csr.rdata <= csr.mhpmcounter_rd(17)(31 downto 0); -- (R)/(W): [m]hpmcounter20 - low
2433
          when csr_hpmcounter21_c  | csr_mhpmcounter21_c  => csr.rdata <= csr.mhpmcounter_rd(18)(31 downto 0); -- (R)/(W): [m]hpmcounter21 - low
2434
          when csr_hpmcounter22_c  | csr_mhpmcounter22_c  => csr.rdata <= csr.mhpmcounter_rd(19)(31 downto 0); -- (R)/(W): [m]hpmcounter22 - low
2435
          when csr_hpmcounter23_c  | csr_mhpmcounter23_c  => csr.rdata <= csr.mhpmcounter_rd(20)(31 downto 0); -- (R)/(W): [m]hpmcounter23 - low
2436
          when csr_hpmcounter24_c  | csr_mhpmcounter24_c  => csr.rdata <= csr.mhpmcounter_rd(21)(31 downto 0); -- (R)/(W): [m]hpmcounter24 - low
2437
          when csr_hpmcounter25_c  | csr_mhpmcounter25_c  => csr.rdata <= csr.mhpmcounter_rd(22)(31 downto 0); -- (R)/(W): [m]hpmcounter25 - low
2438
          when csr_hpmcounter26_c  | csr_mhpmcounter26_c  => csr.rdata <= csr.mhpmcounter_rd(23)(31 downto 0); -- (R)/(W): [m]hpmcounter26 - low
2439
          when csr_hpmcounter27_c  | csr_mhpmcounter27_c  => csr.rdata <= csr.mhpmcounter_rd(24)(31 downto 0); -- (R)/(W): [m]hpmcounter27 - low
2440
          when csr_hpmcounter28_c  | csr_mhpmcounter28_c  => csr.rdata <= csr.mhpmcounter_rd(25)(31 downto 0); -- (R)/(W): [m]hpmcounter28 - low
2441
          when csr_hpmcounter29_c  | csr_mhpmcounter29_c  => csr.rdata <= csr.mhpmcounter_rd(26)(31 downto 0); -- (R)/(W): [m]hpmcounter29 - low
2442
          when csr_hpmcounter30_c  | csr_mhpmcounter30_c  => csr.rdata <= csr.mhpmcounter_rd(27)(31 downto 0); -- (R)/(W): [m]hpmcounter30 - low
2443
          when csr_hpmcounter31_c  | csr_mhpmcounter31_c  => csr.rdata <= csr.mhpmcounter_rd(28)(31 downto 0); -- (R)/(W): [m]hpmcounter31 - low
2444
 
2445
          when csr_hpmcounter3h_c  | csr_mhpmcounter3h_c  => csr.rdata <= csr.mhpmcounterh_rd(00)(31 downto 0); -- (R)/(W): [m]hpmcounter3h - high
2446
          when csr_hpmcounter4h_c  | csr_mhpmcounter4h_c  => csr.rdata <= csr.mhpmcounterh_rd(01)(31 downto 0); -- (R)/(W): [m]hpmcounter4h - high
2447
          when csr_hpmcounter5h_c  | csr_mhpmcounter5h_c  => csr.rdata <= csr.mhpmcounterh_rd(02)(31 downto 0); -- (R)/(W): [m]hpmcounter5h - high
2448
          when csr_hpmcounter6h_c  | csr_mhpmcounter6h_c  => csr.rdata <= csr.mhpmcounterh_rd(03)(31 downto 0); -- (R)/(W): [m]hpmcounter6h - high
2449
          when csr_hpmcounter7h_c  | csr_mhpmcounter7h_c  => csr.rdata <= csr.mhpmcounterh_rd(04)(31 downto 0); -- (R)/(W): [m]hpmcounter7h - high
2450
          when csr_hpmcounter8h_c  | csr_mhpmcounter8h_c  => csr.rdata <= csr.mhpmcounterh_rd(05)(31 downto 0); -- (R)/(W): [m]hpmcounter8h - high
2451
          when csr_hpmcounter9h_c  | csr_mhpmcounter9h_c  => csr.rdata <= csr.mhpmcounterh_rd(06)(31 downto 0); -- (R)/(W): [m]hpmcounter9h - high
2452
          when csr_hpmcounter10h_c | csr_mhpmcounter10h_c => csr.rdata <= csr.mhpmcounterh_rd(07)(31 downto 0); -- (R)/(W): [m]hpmcounter10h - high
2453
          when csr_hpmcounter11h_c | csr_mhpmcounter11h_c => csr.rdata <= csr.mhpmcounterh_rd(08)(31 downto 0); -- (R)/(W): [m]hpmcounter11h - high
2454
          when csr_hpmcounter12h_c | csr_mhpmcounter12h_c => csr.rdata <= csr.mhpmcounterh_rd(09)(31 downto 0); -- (R)/(W): [m]hpmcounter12h - high
2455
          when csr_hpmcounter13h_c | csr_mhpmcounter13h_c => csr.rdata <= csr.mhpmcounterh_rd(10)(31 downto 0); -- (R)/(W): [m]hpmcounter13h - high
2456
          when csr_hpmcounter14h_c | csr_mhpmcounter14h_c => csr.rdata <= csr.mhpmcounterh_rd(11)(31 downto 0); -- (R)/(W): [m]hpmcounter14h - high
2457
          when csr_hpmcounter15h_c | csr_mhpmcounter15h_c => csr.rdata <= csr.mhpmcounterh_rd(12)(31 downto 0); -- (R)/(W): [m]hpmcounter15h - high
2458
          when csr_hpmcounter16h_c | csr_mhpmcounter16h_c => csr.rdata <= csr.mhpmcounterh_rd(13)(31 downto 0); -- (R)/(W): [m]hpmcounter16h - high
2459
          when csr_hpmcounter17h_c | csr_mhpmcounter17h_c => csr.rdata <= csr.mhpmcounterh_rd(14)(31 downto 0); -- (R)/(W): [m]hpmcounter17h - high
2460
          when csr_hpmcounter18h_c | csr_mhpmcounter18h_c => csr.rdata <= csr.mhpmcounterh_rd(15)(31 downto 0); -- (R)/(W): [m]hpmcounter18h - high
2461
          when csr_hpmcounter19h_c | csr_mhpmcounter19h_c => csr.rdata <= csr.mhpmcounterh_rd(16)(31 downto 0); -- (R)/(W): [m]hpmcounter19h - high
2462
          when csr_hpmcounter20h_c | csr_mhpmcounter20h_c => csr.rdata <= csr.mhpmcounterh_rd(17)(31 downto 0); -- (R)/(W): [m]hpmcounter20h - high
2463
          when csr_hpmcounter21h_c | csr_mhpmcounter21h_c => csr.rdata <= csr.mhpmcounterh_rd(18)(31 downto 0); -- (R)/(W): [m]hpmcounter21h - high
2464
          when csr_hpmcounter22h_c | csr_mhpmcounter22h_c => csr.rdata <= csr.mhpmcounterh_rd(19)(31 downto 0); -- (R)/(W): [m]hpmcounter22h - high
2465
          when csr_hpmcounter23h_c | csr_mhpmcounter23h_c => csr.rdata <= csr.mhpmcounterh_rd(20)(31 downto 0); -- (R)/(W): [m]hpmcounter23h - high
2466
          when csr_hpmcounter24h_c | csr_mhpmcounter24h_c => csr.rdata <= csr.mhpmcounterh_rd(21)(31 downto 0); -- (R)/(W): [m]hpmcounter24h - high
2467
          when csr_hpmcounter25h_c | csr_mhpmcounter25h_c => csr.rdata <= csr.mhpmcounterh_rd(22)(31 downto 0); -- (R)/(W): [m]hpmcounter25h - high
2468
          when csr_hpmcounter26h_c | csr_mhpmcounter26h_c => csr.rdata <= csr.mhpmcounterh_rd(23)(31 downto 0); -- (R)/(W): [m]hpmcounter26h - high
2469
          when csr_hpmcounter27h_c | csr_mhpmcounter27h_c => csr.rdata <= csr.mhpmcounterh_rd(24)(31 downto 0); -- (R)/(W): [m]hpmcounter27h - high
2470
          when csr_hpmcounter28h_c | csr_mhpmcounter28h_c => csr.rdata <= csr.mhpmcounterh_rd(25)(31 downto 0); -- (R)/(W): [m]hpmcounter28h - high
2471
          when csr_hpmcounter29h_c | csr_mhpmcounter29h_c => csr.rdata <= csr.mhpmcounterh_rd(26)(31 downto 0); -- (R)/(W): [m]hpmcounter29h - high
2472
          when csr_hpmcounter30h_c | csr_mhpmcounter30h_c => csr.rdata <= csr.mhpmcounterh_rd(27)(31 downto 0); -- (R)/(W): [m]hpmcounter30h - high
2473
          when csr_hpmcounter31h_c | csr_mhpmcounter31h_c => csr.rdata <= csr.mhpmcounterh_rd(28)(31 downto 0); -- (R)/(W): [m]hpmcounter31h - high
2474
 
2475 11 zero_gravi
          -- machine information registers --
2476 29 zero_gravi
          when csr_mvendorid_c => -- R/-: mvendorid - vendor ID
2477 27 zero_gravi
            csr.rdata <= (others => '0');
2478 34 zero_gravi
          when csr_marchid_c => -- R/-: marchid - arch ID
2479
            csr.rdata(4 downto 0) <= "10011"; -- official RISC-V open-source arch ID
2480 32 zero_gravi
          when csr_mimpid_c => -- R/-: mimpid - implementation ID
2481
            csr.rdata <= hw_version_c; -- NEORV32 hardware version
2482 29 zero_gravi
          when csr_mhartid_c => -- R/-: mhartid - hardware thread ID
2483 27 zero_gravi
            csr.rdata <= HW_THREAD_ID;
2484 11 zero_gravi
 
2485 22 zero_gravi
          -- custom machine read-only CSRs --
2486 44 zero_gravi
          when csr_mzext_c => -- R/-: mzext - available RISC-V Z* extensions
2487
            csr.rdata(0) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicsr);    -- Zicsr
2488
            csr.rdata(1) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zifencei); -- Zifencei
2489
            csr.rdata(2) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B); -- Zbb
2490 22 zero_gravi
 
2491 11 zero_gravi
          -- undefined/unavailable --
2492
          when others =>
2493 27 zero_gravi
            csr.rdata <= (others => '0'); -- not implemented
2494 11 zero_gravi
 
2495
        end case;
2496 2 zero_gravi
      end if;
2497
    end if;
2498
  end process csr_read_access;
2499
 
2500 27 zero_gravi
  -- CSR read data output --
2501
  csr_rdata_o <= csr.rdata;
2502
 
2503 12 zero_gravi
 
2504 2 zero_gravi
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.