OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 63

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Control >>                                                                   #
3
-- # ********************************************************************************************* #
4 31 zero_gravi
-- # CPU operation is split into a fetch engine (responsible for fetching instruction data), an    #
5
-- # issue engine (for recoding compressed instructions and for constructing 32-bit instruction    #
6
-- # words) and an execute engine (responsible for actually executing the instructions), a trap    #
7 44 zero_gravi
-- # handling controller and the RISC-V status and control register set (CSRs) including the       #
8
-- # hardware performance monitor counters.                                                        #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_cpu_control is
49
  generic (
50
    -- General --
51 62 zero_gravi
    HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
52
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
53
    CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
54 2 zero_gravi
    -- RISC-V CPU Extensions --
55 62 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
56
    CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
57
    CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
58
    CPU_EXTENSION_RISCV_M        : boolean; -- implement muld/div extension?
59
    CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
60 63 zero_gravi
    CPU_EXTENSION_RISCV_Zbb      : boolean; -- implement basic bit-manipulation sub-extension?
61 62 zero_gravi
    CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
62
    CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
63
    CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
64
    CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
65
    CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
66 56 zero_gravi
    -- Extension Options --
67 62 zero_gravi
    CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
68
    CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
69 15 zero_gravi
    -- Physical memory protection (PMP) --
70 62 zero_gravi
    PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
71
    PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
72 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
73 62 zero_gravi
    HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
74
    HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
75 2 zero_gravi
  );
76
  port (
77
    -- global control --
78
    clk_i         : in  std_ulogic; -- global clock, rising edge
79
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
80
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
81
    -- status input --
82 61 zero_gravi
    alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
83 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
84
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
85 57 zero_gravi
    excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
86 2 zero_gravi
    -- data input --
87
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
88
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
89 36 zero_gravi
    alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
90
    rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
91 2 zero_gravi
    -- data output --
92
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
93 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
94
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
95 2 zero_gravi
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
96 52 zero_gravi
    -- FPU interface --
97
    fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
98 59 zero_gravi
    -- debug mode (halt) request --
99
    db_halt_req_i : in  std_ulogic;
100 58 zero_gravi
    -- non-maskable interrupt --
101
    nm_irq_i      : in  std_ulogic;
102 14 zero_gravi
    -- interrupts (risc-v compliant) --
103
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
104
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
105 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
106 14 zero_gravi
    -- fast interrupts (custom) --
107 48 zero_gravi
    firq_i        : in  std_ulogic_vector(15 downto 0);
108 11 zero_gravi
    -- system time input from MTIME --
109
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
110 15 zero_gravi
    -- physical memory protection --
111 23 zero_gravi
    pmp_addr_o    : out pmp_addr_if_t; -- addresses
112
    pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
113 2 zero_gravi
    -- bus access exceptions --
114
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0);  -- memory address register
115
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
116
    ma_load_i     : in  std_ulogic; -- misaligned load data address
117
    ma_store_i    : in  std_ulogic; -- misaligned store data address
118
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
119
    be_load_i     : in  std_ulogic; -- bus error on load data access
120 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
121 2 zero_gravi
  );
122
end neorv32_cpu_control;
123
 
124
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
125
 
126 56 zero_gravi
  -- CPU core counter ([m]cycle, [m]instret) width - high/low parts --
127
  constant cpu_cnt_lo_width_c : natural := natural(cond_sel_int_f(boolean(CPU_CNT_WIDTH < 32), CPU_CNT_WIDTH, 32));
128
  constant cpu_cnt_hi_width_c : natural := natural(cond_sel_int_f(boolean(CPU_CNT_WIDTH > 32), CPU_CNT_WIDTH-32, 0));
129
 
130
  -- HPM counter width - high/low parts --
131
  constant hpm_cnt_lo_width_c : natural := natural(cond_sel_int_f(boolean(HPM_CNT_WIDTH < 32), HPM_CNT_WIDTH, 32));
132
  constant hpm_cnt_hi_width_c : natural := natural(cond_sel_int_f(boolean(HPM_CNT_WIDTH > 32), HPM_CNT_WIDTH-32, 0));
133
 
134 57 zero_gravi
  -- instruction fetch engine --
135
  type fetch_engine_state_t is (IFETCH_REQUEST, IFETCH_ISSUE);
136 6 zero_gravi
  type fetch_engine_t is record
137 31 zero_gravi
    state       : fetch_engine_state_t;
138
    state_nxt   : fetch_engine_state_t;
139 42 zero_gravi
    state_prev  : fetch_engine_state_t;
140 57 zero_gravi
    restart     : std_ulogic;
141
    restart_nxt : std_ulogic;
142 31 zero_gravi
    pc          : std_ulogic_vector(data_width_c-1 downto 0);
143
    pc_nxt      : std_ulogic_vector(data_width_c-1 downto 0);
144
    reset       : std_ulogic;
145
    bus_err_ack : std_ulogic;
146 6 zero_gravi
  end record;
147
  signal fetch_engine : fetch_engine_t;
148 2 zero_gravi
 
149 61 zero_gravi
  -- instruction prefetch buffer (FIFO) interface --
150 6 zero_gravi
  type ipb_t is record
151 31 zero_gravi
    wdata : std_ulogic_vector(2+31 downto 0); -- write status (bus_error, align_error) + 32-bit instruction data
152
    we    : std_ulogic; -- trigger write
153
    free  : std_ulogic; -- free entry available?
154
    clear : std_ulogic; -- clear all entries
155 20 zero_gravi
    --
156 31 zero_gravi
    rdata : std_ulogic_vector(2+31 downto 0); -- read data: status (bus_error, align_error) + 32-bit instruction data
157
    re    : std_ulogic; -- read enable
158
    avail : std_ulogic; -- data available?
159 6 zero_gravi
  end record;
160
  signal ipb : ipb_t;
161 2 zero_gravi
 
162 31 zero_gravi
  -- pre-decoder --
163
  signal ci_instr16 : std_ulogic_vector(15 downto 0);
164
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
165
  signal ci_illegal : std_ulogic;
166
 
167 57 zero_gravi
  -- instruction issue engine --
168 31 zero_gravi
  type issue_engine_state_t is (ISSUE_ACTIVE, ISSUE_REALIGN);
169
  type issue_engine_t is record
170
    state     : issue_engine_state_t;
171
    state_nxt : issue_engine_state_t;
172
    align     : std_ulogic;
173
    align_nxt : std_ulogic;
174
    buf       : std_ulogic_vector(2+15 downto 0);
175
    buf_nxt   : std_ulogic_vector(2+15 downto 0);
176
  end record;
177
  signal issue_engine : issue_engine_t;
178
 
179 37 zero_gravi
  -- instruction issue interface --
180
  type cmd_issue_t is record
181
    data  : std_ulogic_vector(35 downto 0); -- 4-bit status + 32-bit instruction
182
    valid : std_ulogic; -- data word is valid when set
183 31 zero_gravi
  end record;
184 37 zero_gravi
  signal cmd_issue : cmd_issue_t;
185 31 zero_gravi
 
186 44 zero_gravi
  -- instruction decoding helper logic --
187
  type decode_aux_t is record
188 63 zero_gravi
    alu_immediate   : std_ulogic;
189
    rs1_is_r0       : std_ulogic;
190
    is_atomic_lr    : std_ulogic;
191
    is_atomic_sc    : std_ulogic;
192
    is_float_op     : std_ulogic;
193
    sys_env_cmd     : std_ulogic_vector(11 downto 0);
194
    is_m_mul        : std_ulogic;
195
    is_m_div        : std_ulogic;
196
    is_bitmanip_imm : std_ulogic;
197
    is_bitmanip_reg : std_ulogic;
198 44 zero_gravi
  end record;
199
  signal decode_aux : decode_aux_t;
200
 
201 6 zero_gravi
  -- instruction execution engine --
202 53 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP_ENTER, TRAP_EXIT, TRAP_EXECUTE, EXECUTE, ALU_WAIT, BRANCH,
203 62 zero_gravi
                                  FENCE_OP, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, SYS_ENV, CSR_ACCESS);
204 6 zero_gravi
  type execute_engine_t is record
205
    state        : execute_engine_state_t;
206
    state_nxt    : execute_engine_state_t;
207 42 zero_gravi
    state_prev   : execute_engine_state_t;
208 39 zero_gravi
    --
209 6 zero_gravi
    i_reg        : std_ulogic_vector(31 downto 0);
210
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
211 33 zero_gravi
    i_reg_last   : std_ulogic_vector(31 downto 0); -- last executed instruction
212 39 zero_gravi
    --
213 6 zero_gravi
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
214
    is_ci_nxt    : std_ulogic;
215 39 zero_gravi
    --
216 57 zero_gravi
    branch_taken : std_ulogic; -- branch condition fulfilled
217 6 zero_gravi
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
218 49 zero_gravi
    pc_mux_sel   : std_ulogic; -- source select for PC update
219 39 zero_gravi
    pc_we        : std_ulogic; -- PC update enabled
220 6 zero_gravi
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
221 49 zero_gravi
    next_pc_inc  : std_ulogic_vector(data_width_c-1 downto 0); -- increment to get next PC
222 6 zero_gravi
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
223 39 zero_gravi
    --
224 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
225 39 zero_gravi
    sleep_nxt    : std_ulogic;
226 49 zero_gravi
    branched     : std_ulogic; -- instruction fetch was reset
227
    branched_nxt : std_ulogic;
228 6 zero_gravi
  end record;
229
  signal execute_engine : execute_engine_t;
230 2 zero_gravi
 
231 6 zero_gravi
  -- trap controller --
232
  type trap_ctrl_t is record
233
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
234
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
235
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
236
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
237
    exc_ack       : std_ulogic; -- acknowledge all exceptions
238
    irq_ack       : std_ulogic_vector(interrupt_width_c-1 downto 0); -- acknowledge specific interrupt
239
    irq_ack_nxt   : std_ulogic_vector(interrupt_width_c-1 downto 0);
240 59 zero_gravi
    cause         : std_ulogic_vector(6 downto 0); -- trap ID for mcause CSR
241
    cause_nxt     : std_ulogic_vector(6 downto 0);
242
    db_irq_fire   : std_ulogic; -- set if there is a valid IRQ source in the "enter debug mode" trap buffer
243 63 zero_gravi
    db_irq_en     : std_ulogic; -- set if IRQs are allowed in debug mode
244 6 zero_gravi
    --
245
    env_start     : std_ulogic; -- start trap handler env
246
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
247
    env_end       : std_ulogic; -- end trap handler env
248
    --
249
    instr_be      : std_ulogic; -- instruction fetch bus error
250
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
251
    instr_il      : std_ulogic; -- illegal instruction
252
    env_call      : std_ulogic;
253
    break_point   : std_ulogic;
254
  end record;
255
  signal trap_ctrl : trap_ctrl_t;
256
 
257 40 zero_gravi
  -- CPU main control bus --
258 6 zero_gravi
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
259 2 zero_gravi
 
260 40 zero_gravi
  -- fast instruction fetch access --
261 6 zero_gravi
  signal bus_fast_ir : std_ulogic;
262 2 zero_gravi
 
263 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
264 42 zero_gravi
  type pmp_ctrl_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(7 downto 0);
265
  type pmp_addr_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
266
  type pmp_ctrl_rd_t  is array (0 to 63) of std_ulogic_vector(7 downto 0);
267
  type mhpmevent_t    is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
268 61 zero_gravi
  type mhpmcnt_t      is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(31 downto 0);
269
  type mhpmcnt_nxt_t  is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(32 downto 0);
270
  type mhpmcnt_ovfl_t is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(0 downto 0);
271 56 zero_gravi
  type mhpmcnt_rd_t   is array (0 to 29) of std_ulogic_vector(31 downto 0);
272 6 zero_gravi
  type csr_t is record
273 42 zero_gravi
    addr              : std_ulogic_vector(11 downto 0); -- csr address
274
    we                : std_ulogic; -- csr write enable
275
    we_nxt            : std_ulogic;
276
    re                : std_ulogic; -- csr read enable
277
    re_nxt            : std_ulogic;
278
    wdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data
279
    rdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
280 29 zero_gravi
    --
281 42 zero_gravi
    mstatus_mie       : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
282
    mstatus_mpie      : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/W)
283
    mstatus_mpp       : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode
284 62 zero_gravi
    mstatus_tw        : std_ulogic; -- mstatus:TW trigger illegal instruction exception if WFI is executed outside of M-mode
285 29 zero_gravi
    --
286 42 zero_gravi
    mie_msie          : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
287
    mie_meie          : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
288
    mie_mtie          : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W)
289 48 zero_gravi
    mie_firqe         : std_ulogic_vector(15 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
290 29 zero_gravi
    --
291 42 zero_gravi
    mcounteren_cy     : std_ulogic; -- mcounteren.cy: allow cycle[h] access from user-mode
292
    mcounteren_tm     : std_ulogic; -- mcounteren.tm: allow time[h] access from user-mode
293
    mcounteren_ir     : std_ulogic; -- mcounteren.ir: allow instret[h] access from user-mode
294 29 zero_gravi
    --
295 42 zero_gravi
    mcountinhibit_cy  : std_ulogic; -- mcounterinhibit.cy: enable auto-increment for [m]cycle[h]
296
    mcountinhibit_ir  : std_ulogic; -- mcounterinhibit.ir: enable auto-increment for [m]instret[h]
297
    mcountinhibit_hpm : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0); -- mcounterinhibit.hpm3: enable auto-increment for mhpmcounterx[h]
298 40 zero_gravi
    --
299 42 zero_gravi
    privilege         : std_ulogic_vector(1 downto 0); -- hart's current privilege mode
300 59 zero_gravi
    privilege_rd      : std_ulogic_vector(1 downto 0); -- hart's current privilege mode (effective)
301 42 zero_gravi
    priv_m_mode       : std_ulogic; -- CPU in M-mode
302
    priv_u_mode       : std_ulogic; -- CPU in u-mode
303 41 zero_gravi
    --
304 42 zero_gravi
    mepc              : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
305 49 zero_gravi
    mcause            : std_ulogic_vector(5 downto 0); -- mcause: machine trap cause (R/W)
306 42 zero_gravi
    mtvec             : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
307 49 zero_gravi
    mtval             : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or instruction (R/W)
308 42 zero_gravi
    --
309
    mhpmevent         : mhpmevent_t; -- mhpmevent*: machine performance-monitoring event selector (R/W)
310
    --
311
    mscratch          : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
312 56 zero_gravi
    --
313 61 zero_gravi
    mcycle            : std_ulogic_vector(31 downto 0); -- mcycle (R/W)
314
    mcycle_nxt        : std_ulogic_vector(32 downto 0);
315
    mcycle_ovfl       : std_ulogic_vector(00 downto 0); -- counter low-to-high-word overflow
316 60 zero_gravi
    mcycleh           : std_ulogic_vector(31 downto 0); -- mcycleh (R/W)
317 61 zero_gravi
    minstret          : std_ulogic_vector(31 downto 0); -- minstret (R/W)
318
    minstret_nxt      : std_ulogic_vector(32 downto 0);
319
    minstret_ovfl     : std_ulogic_vector(00 downto 0); -- counter low-to-high-word overflow
320 42 zero_gravi
    minstreth         : std_ulogic_vector(31 downto 0); -- minstreth (R/W)
321
    --
322
    mhpmcounter       : mhpmcnt_t; -- mhpmcounter* (R/W), plus carry bit
323 61 zero_gravi
    mhpmcounter_nxt   : mhpmcnt_nxt_t;
324
    mhpmcounter_ovfl  : mhpmcnt_ovfl_t; -- counter low-to-high-word overflow
325
    mhpmcounterh      : mhpmcnt_t; -- mhpmcounter*h (R/W)
326 42 zero_gravi
    mhpmcounter_rd    : mhpmcnt_rd_t; -- mhpmcounter* (R/W): actual read data
327 61 zero_gravi
    mhpmcounterh_rd   : mhpmcnt_rd_t; -- mhpmcounter*h (R/W): actual read data
328 42 zero_gravi
    --
329
    pmpcfg            : pmp_ctrl_t; -- physical memory protection - configuration registers
330
    pmpcfg_rd         : pmp_ctrl_rd_t; -- physical memory protection - actual read data
331
    pmpaddr           : pmp_addr_t; -- physical memory protection - address registers
332 52 zero_gravi
    --
333
    frm               : std_ulogic_vector(02 downto 0); -- frm (R/W): FPU rounding mode
334
    fflags            : std_ulogic_vector(04 downto 0); -- fflags (R/W): FPU exception flags
335 59 zero_gravi
    --
336
    dcsr_ebreakm      : std_ulogic; -- dcsr.ebreakm (R/W): behavior of ebreak instruction on m-mode
337
    dcsr_ebreaku      : std_ulogic; -- dcsr.ebreaku (R/W): behavior of ebreak instruction on u-mode
338
    dcsr_step         : std_ulogic; -- dcsr.step (R/W): single-step mode
339
    dcsr_prv          : std_ulogic_vector(01 downto 0); -- dcsr.prv (R/W): current privilege level when entering debug mode
340
    dcsr_cause        : std_ulogic_vector(02 downto 0); -- dcsr.cause (R/-): why was debug mode entered
341
    dcsr_rd           : std_ulogic_vector(data_width_c-1 downto 0); -- dcsr (R/(W)): debug mode control and status register
342
    dpc               : std_ulogic_vector(data_width_c-1 downto 0); -- dpc (R/W): debug mode program counter
343
    dscratch0         : std_ulogic_vector(data_width_c-1 downto 0); -- dscratch0 (R/W): debug mode scratch register 0
344 6 zero_gravi
  end record;
345
  signal csr : csr_t;
346 2 zero_gravi
 
347 59 zero_gravi
  -- debug mode controller --
348
  type debug_ctrl_state_t is (DEBUG_OFFLINE, DEBUG_PENDING, DEBUG_ONLINE, DEBUG_EXIT);
349
  type debug_ctrl_t is record
350
    state        : debug_ctrl_state_t;
351
    -- decoded state --
352
    running      : std_ulogic; -- debug mode active
353
    pending      : std_ulogic; -- waiting to start debug mode
354
    -- entering triggers --
355
    trig_break   : std_ulogic; -- ebreak instruction
356
    trig_halt    : std_ulogic; -- external request
357
    trig_step    : std_ulogic; -- single-stepping mode
358
    -- leave debug mode --
359
    dret         : std_ulogic; -- executed DRET instruction
360
    -- misc --
361
    ext_halt_req : std_ulogic_vector(1 downto 0); -- rising edge detector for external halt request
362
  end record;
363
  signal debug_ctrl : debug_ctrl_t;
364
 
365 42 zero_gravi
  -- (hpm) counter events --
366
  signal cnt_event, cnt_event_nxt : std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
367
  signal hpmcnt_trigger           : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0);
368
 
369 6 zero_gravi
  -- illegal instruction check --
370 36 zero_gravi
  signal illegal_opcode_lsbs : std_ulogic; -- if opcode != rv32
371 2 zero_gravi
  signal illegal_instruction : std_ulogic;
372
  signal illegal_register    : std_ulogic; -- only for E-extension
373
  signal illegal_compressed  : std_ulogic; -- only fir C-extension
374
 
375 15 zero_gravi
  -- access (privilege) check --
376
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
377
 
378 2 zero_gravi
begin
379
 
380 6 zero_gravi
-- ****************************************************************************************************************************
381 56 zero_gravi
-- Instruction Fetch (always fetch 32-bit-aligned 32-bit chunks of data)
382 6 zero_gravi
-- ****************************************************************************************************************************
383
 
384
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
385
  -- -------------------------------------------------------------------------------------------
386 31 zero_gravi
  fetch_engine_fsm_sync: process(rstn_i, clk_i)
387 6 zero_gravi
  begin
388
    if (rstn_i = '0') then
389 57 zero_gravi
      fetch_engine.state      <= IFETCH_REQUEST;
390
      fetch_engine.state_prev <= IFETCH_REQUEST;
391
      fetch_engine.restart    <= '1';
392 56 zero_gravi
      fetch_engine.pc         <= (others => def_rst_val_c);
393 6 zero_gravi
    elsif rising_edge(clk_i) then
394 57 zero_gravi
      fetch_engine.state      <= fetch_engine.state_nxt;
395
      fetch_engine.state_prev <= fetch_engine.state;
396
      fetch_engine.restart    <= fetch_engine.restart_nxt;
397
      if (fetch_engine.restart = '1') then
398
        fetch_engine.pc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
399 6 zero_gravi
      else
400 57 zero_gravi
        fetch_engine.pc <= fetch_engine.pc_nxt;
401 6 zero_gravi
      end if;
402
    end if;
403
  end process fetch_engine_fsm_sync;
404
 
405 12 zero_gravi
  -- PC output --
406 31 zero_gravi
  fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0'; -- half-word aligned
407 6 zero_gravi
 
408 12 zero_gravi
 
409 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
410
  -- -------------------------------------------------------------------------------------------
411 31 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, execute_engine, ipb, instr_i, bus_i_wait_i, be_instr_i, ma_instr_i)
412 6 zero_gravi
  begin
413
    -- arbiter defaults --
414 31 zero_gravi
    bus_fast_ir              <= '0';
415
    fetch_engine.state_nxt   <= fetch_engine.state;
416
    fetch_engine.pc_nxt      <= fetch_engine.pc;
417
    fetch_engine.bus_err_ack <= '0';
418 57 zero_gravi
    fetch_engine.restart_nxt <= fetch_engine.restart or fetch_engine.reset;
419 6 zero_gravi
 
420
    -- instruction prefetch buffer interface --
421
    ipb.we    <= '0';
422 31 zero_gravi
    ipb.wdata <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store exception info and instruction word
423 57 zero_gravi
    ipb.clear <= fetch_engine.restart;
424 6 zero_gravi
 
425
    -- state machine --
426
    case fetch_engine.state is
427
 
428 57 zero_gravi
      when IFETCH_REQUEST => -- request new 32-bit-aligned instruction word
429 6 zero_gravi
      -- ------------------------------------------------------------
430 57 zero_gravi
        if (ipb.free = '1') and (fetch_engine.restart = '0') then -- free entry in buffer AND no reset request?
431 31 zero_gravi
          bus_fast_ir            <= '1'; -- fast instruction fetch request
432
          fetch_engine.state_nxt <= IFETCH_ISSUE;
433
        end if;
434 57 zero_gravi
        if (fetch_engine.restart = '1') then -- reset request?
435
          fetch_engine.restart_nxt <= '0';
436
        end if;
437 6 zero_gravi
 
438 31 zero_gravi
      when IFETCH_ISSUE => -- store instruction data to prefetch buffer
439 6 zero_gravi
      -- ------------------------------------------------------------
440 41 zero_gravi
        fetch_engine.bus_err_ack <= be_instr_i or ma_instr_i; -- ACK bus/alignment errors
441 12 zero_gravi
        if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
442 57 zero_gravi
          fetch_engine.pc_nxt <= std_ulogic_vector(unsigned(fetch_engine.pc) + 4);
443
          ipb.we              <= not fetch_engine.restart; -- write to IPB if not being reset
444
          if (fetch_engine.restart = '1') then -- reset request?
445
            fetch_engine.restart_nxt <= '0';
446
          end if;
447 39 zero_gravi
          fetch_engine.state_nxt <= IFETCH_REQUEST;
448 6 zero_gravi
        end if;
449 11 zero_gravi
 
450 6 zero_gravi
      when others => -- undefined
451
      -- ------------------------------------------------------------
452 57 zero_gravi
        fetch_engine.state_nxt <= IFETCH_REQUEST;
453 6 zero_gravi
 
454
    end case;
455
  end process fetch_engine_fsm_comb;
456
 
457
 
458
-- ****************************************************************************************************************************
459
-- Instruction Prefetch Buffer
460
-- ****************************************************************************************************************************
461
 
462 20 zero_gravi
  -- Instruction Prefetch Buffer (FIFO) -----------------------------------------------------
463 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
464 61 zero_gravi
  instr_prefetch_buffer: neorv32_fifo
465
  generic map (
466 62 zero_gravi
    FIFO_DEPTH => CPU_IPB_ENTRIES,  -- number of fifo entries; has to be a power of two; min 1
467 61 zero_gravi
    FIFO_WIDTH => ipb.wdata'length, -- size of data elements in fifo
468
    FIFO_RSYNC => false,            -- we NEED to read data asynchronously
469
    FIFO_SAFE  => false             -- no safe access required (ensured by FIFO-external control)
470
  )
471
  port map (
472
    -- control --
473
    clk_i   => clk_i,     -- clock, rising edge
474
    rstn_i  => '1',       -- async reset, low-active
475
    clear_i => ipb.clear, -- sync reset, high-active
476
    -- write port --
477
    wdata_i => ipb.wdata, -- write data
478
    we_i    => ipb.we,    -- write enable
479
    free_o  => ipb.free,  -- at least one entry is free when set
480
    -- read port --
481
    re_i    => ipb.re,    -- read enable
482
    rdata_o => ipb.rdata, -- read data
483
    avail_o => ipb.avail  -- data available when set
484
  );
485 20 zero_gravi
 
486 56 zero_gravi
 
487 6 zero_gravi
-- ****************************************************************************************************************************
488 31 zero_gravi
-- Instruction Issue (recoding of compressed instructions and 32-bit instruction word construction)
489
-- ****************************************************************************************************************************
490
 
491
  -- Issue Engine FSM Sync ------------------------------------------------------------------
492
  -- -------------------------------------------------------------------------------------------
493
  issue_engine_fsm_sync: process(rstn_i, clk_i)
494
  begin
495
    if (rstn_i = '0') then
496
      issue_engine.state <= ISSUE_ACTIVE;
497 40 zero_gravi
      issue_engine.align <= CPU_BOOT_ADDR(1); -- 32- or 16-bit boundary
498 56 zero_gravi
      issue_engine.buf   <= (others => def_rst_val_c);
499 31 zero_gravi
    elsif rising_edge(clk_i) then
500
      if (ipb.clear = '1') then
501
        if (CPU_EXTENSION_RISCV_C = true) then
502
          if (execute_engine.pc(1) = '1') then -- branch to unaligned address?
503
            issue_engine.state <= ISSUE_REALIGN;
504
            issue_engine.align <= '1'; -- aligned on 16-bit boundary
505
          else
506
            issue_engine.state <= issue_engine.state_nxt;
507
            issue_engine.align <= '0'; -- aligned on 32-bit boundary
508
          end if;
509
        else
510
          issue_engine.state <= issue_engine.state_nxt;
511
          issue_engine.align <= '0'; -- always aligned on 32-bit boundaries
512
        end if;
513
      else
514
        issue_engine.state <= issue_engine.state_nxt;
515
        issue_engine.align <= issue_engine.align_nxt;
516
      end if;
517
      issue_engine.buf <= issue_engine.buf_nxt;
518
    end if;
519
  end process issue_engine_fsm_sync;
520
 
521
 
522
  -- Issue Engine FSM Comb ------------------------------------------------------------------
523
  -- -------------------------------------------------------------------------------------------
524 37 zero_gravi
  issue_engine_fsm_comb: process(issue_engine, ipb, execute_engine, ci_illegal, ci_instr32)
525 31 zero_gravi
  begin
526
    -- arbiter defaults --
527
    issue_engine.state_nxt <= issue_engine.state;
528
    issue_engine.align_nxt <= issue_engine.align;
529
    issue_engine.buf_nxt   <= issue_engine.buf;
530
 
531
    -- instruction prefetch buffer interface defaults --
532
    ipb.re <= '0';
533
 
534 37 zero_gravi
    -- instruction issue interface defaults --
535
    -- cmd_issue.data = <illegal_compressed_instruction> & <bus_error & alignment_error> & <is_compressed_instrucion> & <32-bit_instruction_word>
536
    cmd_issue.data  <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
537
    cmd_issue.valid <= '0';
538 31 zero_gravi
 
539
    -- state machine --
540
    case issue_engine.state is
541
 
542
      when ISSUE_ACTIVE => -- issue instruction if available
543
      -- ------------------------------------------------------------
544
        if (ipb.avail = '1') then -- instructions available?
545
 
546
          if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- begin check in LOW instruction half-word
547 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
548 39 zero_gravi
              cmd_issue.valid      <= '1';
549 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
550
              if (ipb.rdata(1 downto 0) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed and "aligned"
551 37 zero_gravi
                ipb.re <= '1';
552
                cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
553 31 zero_gravi
              else -- compressed
554 37 zero_gravi
                ipb.re <= '1';
555
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
556 31 zero_gravi
                issue_engine.align_nxt <= '1';
557
              end if;
558
            end if;
559
 
560
          else -- begin check in HIGH instruction half-word
561 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
562 39 zero_gravi
              cmd_issue.valid      <= '1';
563 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
564
              if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed and "unaligned"
565 37 zero_gravi
                ipb.re <= '1';
566
                cmd_issue.data <= '0' & issue_engine.buf(17 downto 16) & '0' & (ipb.rdata(15 downto 0) & issue_engine.buf(15 downto 0));
567 31 zero_gravi
              else -- compressed
568 36 zero_gravi
                -- do not read from ipb here!
569 37 zero_gravi
                cmd_issue.data <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
570 31 zero_gravi
                issue_engine.align_nxt <= '0';
571
              end if;
572
            end if;
573
          end if;
574
        end if;
575
 
576
      when ISSUE_REALIGN => -- re-align input fifos after a branch to an unaligned address
577
      -- ------------------------------------------------------------
578
        issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16);
579
        if (ipb.avail = '1') then -- instructions available?
580
          ipb.re <= '1';
581
          issue_engine.state_nxt <= ISSUE_ACTIVE;
582
        end if;
583
 
584
      when others => -- undefined
585
      -- ------------------------------------------------------------
586
        issue_engine.state_nxt <= ISSUE_ACTIVE;
587
 
588
    end case;
589
  end process issue_engine_fsm_comb;
590
 
591 41 zero_gravi
  -- 16-bit instructions: half-word select --
592 31 zero_gravi
  ci_instr16 <= ipb.rdata(15 downto 0) when (issue_engine.align = '0') else issue_engine.buf(15 downto 0);
593
 
594
 
595
  -- Compressed Instructions Recoding -------------------------------------------------------
596
  -- -------------------------------------------------------------------------------------------
597
  neorv32_cpu_decompressor_inst_true:
598
  if (CPU_EXTENSION_RISCV_C = true) generate
599
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
600
    port map (
601
      -- instruction input --
602
      ci_instr16_i => ci_instr16, -- compressed instruction input
603
      -- instruction output --
604
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
605
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
606
    );
607
  end generate;
608
 
609
  neorv32_cpu_decompressor_inst_false:
610
  if (CPU_EXTENSION_RISCV_C = false) generate
611
    ci_instr32 <= (others => '0');
612
    ci_illegal <= '0';
613
  end generate;
614
 
615
 
616
-- ****************************************************************************************************************************
617 6 zero_gravi
-- Instruction Execution
618
-- ****************************************************************************************************************************
619
 
620 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
621
  -- -------------------------------------------------------------------------------------------
622 62 zero_gravi
  imm_gen: process(rstn_i, clk_i)
623 37 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
624 2 zero_gravi
  begin
625 56 zero_gravi
    if (rstn_i = '0') then
626
      imm_o <= (others => def_rst_val_c);
627
    elsif rising_edge(clk_i) then
628 49 zero_gravi
      if (execute_engine.state = BRANCH) then -- next_PC as immediate for jump-and-link operations (=return address) via ALU.MOV_B
629 39 zero_gravi
        imm_o <= execute_engine.next_pc;
630 49 zero_gravi
      else -- "normal" immediate from instruction word
631 62 zero_gravi
        opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
632 49 zero_gravi
        case opcode_v is -- save some bits here, the two LSBs are always "11" for rv32
633 53 zero_gravi
          when opcode_store_c => -- S-immediate
634 39 zero_gravi
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
635
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
636
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
637
            imm_o(00)           <= execute_engine.i_reg(07);
638
          when opcode_branch_c => -- B-immediate
639
            imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
640
            imm_o(11)           <= execute_engine.i_reg(07);
641
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
642
            imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
643
            imm_o(00)           <= '0';
644
          when opcode_lui_c | opcode_auipc_c => -- U-immediate
645
            imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
646
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
647
            imm_o(11 downto 00) <= (others => '0');
648
          when opcode_jal_c => -- J-immediate
649
            imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
650
            imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
651
            imm_o(11)           <= execute_engine.i_reg(20);
652
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
653
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
654
            imm_o(00)           <= '0';
655
          when opcode_atomic_c => -- atomic memory access
656 40 zero_gravi
            imm_o               <= (others => '0'); -- effective address is addr = reg + 0 = reg
657 39 zero_gravi
          when others => -- I-immediate
658
            imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
659
            imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
660
            imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
661
            imm_o(00)           <= execute_engine.i_reg(20);
662
        end case;
663
      end if;
664 2 zero_gravi
    end if;
665
  end process imm_gen;
666
 
667
 
668
  -- Branch Condition Check -----------------------------------------------------------------
669
  -- -------------------------------------------------------------------------------------------
670 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
671 2 zero_gravi
  begin
672 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
673 2 zero_gravi
      when funct3_beq_c => -- branch if equal
674 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_equal_c);
675 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
676 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_equal_c);
677 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
678 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_less_c);
679 2 zero_gravi
      when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned)
680 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_less_c);
681 2 zero_gravi
      when others => -- undefined
682 6 zero_gravi
        execute_engine.branch_taken <= '0';
683 2 zero_gravi
    end case;
684
  end process branch_check;
685
 
686
 
687 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
688 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
689 56 zero_gravi
  execute_engine_fsm_sync: process(rstn_i, clk_i)
690 2 zero_gravi
  begin
691
    if (rstn_i = '0') then
692 56 zero_gravi
      -- registers that DO require a specific reset state --
693 49 zero_gravi
      execute_engine.pc       <= CPU_BOOT_ADDR(data_width_c-1 downto 1) & '0';
694
      execute_engine.state    <= SYS_WAIT;
695
      execute_engine.sleep    <= '0';
696
      execute_engine.branched <= '1'; -- reset is a branch from "somewhere"
697 57 zero_gravi
      -- no dedicated RESET required --
698 62 zero_gravi
      execute_engine.state_prev <= SYS_WAIT; -- actual reset value is not relevant
699 56 zero_gravi
      execute_engine.i_reg      <= (others => def_rst_val_c);
700
      execute_engine.is_ci      <= def_rst_val_c;
701
      execute_engine.last_pc    <= (others => def_rst_val_c);
702
      execute_engine.i_reg_last <= (others => def_rst_val_c);
703
      execute_engine.next_pc    <= (others => def_rst_val_c);
704
      ctrl                      <= (others => def_rst_val_c);
705
      --
706
      ctrl(ctrl_bus_rd_c)       <= '0';
707
      ctrl(ctrl_bus_wr_c)       <= '0';
708 2 zero_gravi
    elsif rising_edge(clk_i) then
709 39 zero_gravi
      -- PC update --
710
      if (execute_engine.pc_we = '1') then
711 49 zero_gravi
        if (execute_engine.pc_mux_sel = '0') then
712 58 zero_gravi
          execute_engine.pc <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- normal (linear) increment OR trap enter/exit
713 49 zero_gravi
        else
714
          execute_engine.pc <= alu_add_i(data_width_c-1 downto 1) & '0'; -- jump/taken_branch
715
        end if;
716 39 zero_gravi
      end if;
717
      --
718 49 zero_gravi
      execute_engine.state    <= execute_engine.state_nxt;
719 61 zero_gravi
      execute_engine.sleep    <= execute_engine.sleep_nxt and (not debug_ctrl.running); -- do not execute when in debug mode
720 49 zero_gravi
      execute_engine.branched <= execute_engine.branched_nxt;
721 56 zero_gravi
      --
722 42 zero_gravi
      execute_engine.state_prev <= execute_engine.state;
723
      execute_engine.i_reg      <= execute_engine.i_reg_nxt;
724
      execute_engine.is_ci      <= execute_engine.is_ci_nxt;
725 59 zero_gravi
 
726 49 zero_gravi
      -- PC & IR of "last executed" instruction --
727 40 zero_gravi
      if (execute_engine.state = EXECUTE) then
728
        execute_engine.last_pc    <= execute_engine.pc;
729 39 zero_gravi
        execute_engine.i_reg_last <= execute_engine.i_reg;
730
      end if;
731 59 zero_gravi
 
732 49 zero_gravi
      -- next PC --
733
      case execute_engine.state is
734 59 zero_gravi
        when TRAP_ENTER =>
735
          if (CPU_EXTENSION_RISCV_DEBUG = false) then -- normal trapping
736
            execute_engine.next_pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
737
          else -- DEBUG MODE enabled
738
            if (trap_ctrl.cause(5) = '1') then -- trap cause: debug mode (re-)entry
739
              execute_engine.next_pc <= CPU_DEBUG_ADDR; -- debug mode enter; start at "parking loop" <normal_entry>
740
            elsif (debug_ctrl.running = '1') then -- any other exception INSIDE debug mode
741
              execute_engine.next_pc <= std_ulogic_vector(unsigned(CPU_DEBUG_ADDR) + 4); -- execute at "parking loop" <exception_entry>
742
            else -- normal trapping
743
              execute_engine.next_pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
744
            end if;
745
          end if;
746
        when TRAP_EXIT =>
747
          if (CPU_EXTENSION_RISCV_DEBUG = false) or (debug_ctrl.running = '0') then -- normal end of trap
748
            execute_engine.next_pc <= csr.mepc(data_width_c-1 downto 1) & '0'; -- trap exit
749
          else -- DEBUG MODE exiting
750
            execute_engine.next_pc <= csr.dpc(data_width_c-1 downto 1) & '0'; -- debug mode exit
751
          end if;
752
        when EXECUTE =>
753
          execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + unsigned(execute_engine.next_pc_inc)); -- next linear PC
754
        when others =>
755
          NULL;
756 49 zero_gravi
      end case;
757 59 zero_gravi
 
758 39 zero_gravi
      -- main control bus --
759 6 zero_gravi
      ctrl <= ctrl_nxt;
760 2 zero_gravi
    end if;
761 6 zero_gravi
  end process execute_engine_fsm_sync;
762 2 zero_gravi
 
763 56 zero_gravi
 
764 49 zero_gravi
  -- PC increment for next linear instruction (+2 for compressed instr., +4 otherwise) --
765
  execute_engine.next_pc_inc <= x"00000004" when ((execute_engine.is_ci = '0') or (CPU_EXTENSION_RISCV_C = false)) else x"00000002";
766 41 zero_gravi
 
767 20 zero_gravi
  -- PC output --
768 39 zero_gravi
  curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- PC for ALU ops
769 6 zero_gravi
 
770 49 zero_gravi
  -- CSR access address --
771
  csr.addr <= execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c);
772 20 zero_gravi
 
773 49 zero_gravi
 
774 6 zero_gravi
  -- CPU Control Bus Output -----------------------------------------------------------------
775
  -- -------------------------------------------------------------------------------------------
776 60 zero_gravi
  ctrl_output: process(ctrl, fetch_engine, trap_ctrl, bus_fast_ir, execute_engine, csr, debug_ctrl)
777 2 zero_gravi
  begin
778 36 zero_gravi
    -- signals from execute engine --
779 2 zero_gravi
    ctrl_o <= ctrl;
780 36 zero_gravi
    -- current privilege level --
781 59 zero_gravi
    ctrl_o(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) <= csr.privilege_rd;
782 36 zero_gravi
    -- register addresses --
783 40 zero_gravi
    ctrl_o(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c);
784
    ctrl_o(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c);
785
    ctrl_o(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c)  <= execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c);
786 12 zero_gravi
    -- fast bus access requests --
787 36 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= bus_fast_ir;
788 12 zero_gravi
    -- bus error control --
789 47 zero_gravi
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack; -- instruction fetch bus access error ACK
790
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack; -- data access bus error access ACK
791
    -- memory access size / sign --
792
    ctrl_o(ctrl_bus_unsigned_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
793
    ctrl_o(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size
794
    -- alu.shifter --
795
    ctrl_o(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
796
    ctrl_o(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
797 36 zero_gravi
    -- instruction's function blocks (for co-processors) --
798 44 zero_gravi
    ctrl_o(ctrl_ir_opcode7_6_c  downto ctrl_ir_opcode7_0_c) <= execute_engine.i_reg(instr_opcode_msb_c  downto instr_opcode_lsb_c);
799 36 zero_gravi
    ctrl_o(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_0_c) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c);
800
    ctrl_o(ctrl_ir_funct3_2_c   downto ctrl_ir_funct3_0_c)  <= execute_engine.i_reg(instr_funct3_msb_c  downto instr_funct3_lsb_c);
801 47 zero_gravi
    -- cpu status --
802 60 zero_gravi
    ctrl_o(ctrl_sleep_c) <= execute_engine.sleep; -- cpu is in sleep mode
803
    ctrl_o(ctrl_trap_c)  <= trap_ctrl.env_start_ack; -- cpu is starting a trap handler
804 59 zero_gravi
    if (CPU_EXTENSION_RISCV_DEBUG = true) then
805
      ctrl_o(ctrl_debug_running_c) <= debug_ctrl.running; -- cpu is currently in debug mode
806
    else
807
      ctrl_o(ctrl_debug_running_c) <= '0';
808
    end if;
809 61 zero_gravi
    -- FPU rounding mode --
810
    ctrl_o(ctrl_alu_frm2_c downto ctrl_alu_frm0_c) <= csr.frm;
811 6 zero_gravi
  end process ctrl_output;
812 2 zero_gravi
 
813
 
814 44 zero_gravi
  -- Decoding Helper Logic ------------------------------------------------------------------
815
  -- -------------------------------------------------------------------------------------------
816
  decode_helper: process(execute_engine)
817 49 zero_gravi
    variable sys_env_cmd_mask_v : std_ulogic_vector(11 downto 0);
818 44 zero_gravi
  begin
819
    -- defaults --
820 63 zero_gravi
    decode_aux.alu_immediate   <= '0';
821
    decode_aux.rs1_is_r0       <= '0';
822
    decode_aux.is_atomic_lr    <= '0';
823
    decode_aux.is_atomic_sc    <= '0';
824
    decode_aux.is_float_op     <= '0';
825
    decode_aux.is_m_mul        <= '0';
826
    decode_aux.is_m_div        <= '0';
827
    decode_aux.is_bitmanip_imm <= '0';
828
    decode_aux.is_bitmanip_reg <= '0';
829 44 zero_gravi
 
830
    -- is immediate ALU operation? --
831
    decode_aux.alu_immediate <= not execute_engine.i_reg(instr_opcode_msb_c-1);
832
 
833
    -- is rs1 == r0? --
834 60 zero_gravi
    decode_aux.rs1_is_r0 <= not or_reduce_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c));
835 44 zero_gravi
 
836
    -- is atomic load-reservate/store-conditional? --
837 52 zero_gravi
    if (CPU_EXTENSION_RISCV_A = true) and (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = "11") then -- valid atomic sub-opcode
838 44 zero_gravi
      decode_aux.is_atomic_lr <= not execute_engine.i_reg(instr_funct5_lsb_c);
839
      decode_aux.is_atomic_sc <=     execute_engine.i_reg(instr_funct5_lsb_c);
840
    end if;
841
 
842 63 zero_gravi
    -- is BITMANIP instruction? --
843
    -- pretty complex as we have to extract this from the ALU/ALUI instruction space --
844
    -- immediate operation --
845
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001") and
846
         (
847
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00000") or -- CLZ
848
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00001") or -- CTZ
849
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00010") or -- CPOP
850
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00100") or -- SEXT.B
851
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00101")    -- SEXT.H
852
         )
853
       ) or
854
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- RORI
855
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00111")) or -- ORCB
856
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "11000")) then -- REV8
857
      decode_aux.is_bitmanip_imm <= '1';
858
    end if;
859
    -- register operation --
860
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c-1 downto instr_funct3_lsb_c) = "01")) or -- ROR / ROL
861
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000101") and (execute_engine.i_reg(instr_funct3_msb_c) = '1')) or -- MIN[U] / MAX[U]
862
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")) or -- ZEXTH
863
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100000") and
864
        (
865
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "111") or -- ANDN
866
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110") or -- ORN
867
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")    -- XORN
868
        )
869
       ) then
870
      decode_aux.is_bitmanip_reg <= '1';
871
    end if;
872
 
873 53 zero_gravi
    -- floating-point operations (Zfinx) --
874
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+3) = "0000")) or -- FADD.S / FSUB.S
875 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00010")) or -- FMUL.S
876 53 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- FCLASS.S
877 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FSGNJ[N/X].S
878
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_msb_c-1) = "00")) or -- FMIN.S / FMAX.S
879
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "10100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FEQ.S / FLT.S / FLE.S
880 53 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11010") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) or -- FCVT.S.W*
881 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11000") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) then -- FCVT.W*.S
882 53 zero_gravi
      decode_aux.is_float_op <= '1';
883 52 zero_gravi
    end if;
884
 
885 49 zero_gravi
    -- system/environment instructions --
886 59 zero_gravi
    sys_env_cmd_mask_v := funct12_ecall_c or funct12_ebreak_c or funct12_mret_c or funct12_wfi_c or funct12_dret_c; -- sum-up set bits
887 60 zero_gravi
    decode_aux.sys_env_cmd <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) and sys_env_cmd_mask_v; -- set unused bits to always-zero
888 61 zero_gravi
 
889
    -- integer MUL (M/Zmmul) / DIV (M) operation --
890
    if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and
891
       (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then
892
      decode_aux.is_m_mul <= not execute_engine.i_reg(instr_funct3_msb_c);
893
      decode_aux.is_m_div <=     execute_engine.i_reg(instr_funct3_msb_c);
894
    end if;
895 44 zero_gravi
  end process decode_helper;
896
 
897
 
898 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
899
  -- -------------------------------------------------------------------------------------------
900 61 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, debug_ctrl, trap_ctrl, decode_aux, fetch_engine, cmd_issue,
901
                                   csr, ctrl, csr_acc_valid, alu_idone_i, bus_d_wait_i, excl_state_i)
902 44 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
903 2 zero_gravi
  begin
904
    -- arbiter defaults --
905 29 zero_gravi
    execute_engine.state_nxt    <= execute_engine.state;
906
    execute_engine.i_reg_nxt    <= execute_engine.i_reg;
907
    execute_engine.is_ci_nxt    <= execute_engine.is_ci;
908
    execute_engine.sleep_nxt    <= execute_engine.sleep;
909 49 zero_gravi
    execute_engine.branched_nxt <= execute_engine.branched;
910 39 zero_gravi
    --
911 49 zero_gravi
    execute_engine.pc_mux_sel   <= '0';
912 39 zero_gravi
    execute_engine.pc_we        <= '0';
913 2 zero_gravi
 
914 6 zero_gravi
    -- instruction dispatch --
915 37 zero_gravi
    fetch_engine.reset          <= '0';
916 2 zero_gravi
 
917 6 zero_gravi
    -- trap environment control --
918 37 zero_gravi
    trap_ctrl.env_start_ack     <= '0';
919
    trap_ctrl.env_end           <= '0';
920 6 zero_gravi
 
921 59 zero_gravi
    -- leave debug mode --
922
    debug_ctrl.dret             <= '0';
923
 
924 2 zero_gravi
    -- exception trigger --
925 37 zero_gravi
    trap_ctrl.instr_be          <= '0';
926
    trap_ctrl.instr_ma          <= '0';
927
    trap_ctrl.env_call          <= '0';
928
    trap_ctrl.break_point       <= '0';
929
    illegal_compressed          <= '0';
930 2 zero_gravi
 
931 6 zero_gravi
    -- CSR access --
932 37 zero_gravi
    csr.we_nxt                  <= '0';
933
    csr.re_nxt                  <= '0';
934 6 zero_gravi
 
935 39 zero_gravi
    -- CONTROL DEFAULTS --
936 36 zero_gravi
    ctrl_nxt <= (others => '0'); -- default: all off
937 47 zero_gravi
    -- ALU main control --
938
    ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
939
    ctrl_nxt(ctrl_alu_func1_c  downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- default ALU function select: arithmetic
940
    ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c; -- default ALU arithmetic operation: ADDSUB
941
    -- ALU sign control --
942 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
943 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation? (SLTIU, SLTU)
944 2 zero_gravi
    else -- branches
945 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches? (BLTU, BGEU)
946 2 zero_gravi
    end if;
947 57 zero_gravi
    -- Atomic store-conditional instruction (evaluate lock status) --
948
    if (CPU_EXTENSION_RISCV_A = true) then
949
      ctrl_nxt(ctrl_bus_ch_lock_c) <= decode_aux.is_atomic_sc;
950
    else
951
      ctrl_nxt(ctrl_bus_ch_lock_c) <= '0';
952
    end if;
953 2 zero_gravi
 
954
 
955 6 zero_gravi
    -- state machine --
956
    case execute_engine.state is
957 2 zero_gravi
 
958 62 zero_gravi
      when SYS_WAIT => -- System delay cycle (to let side effects kick in)
959 2 zero_gravi
      -- ------------------------------------------------------------
960 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
961 2 zero_gravi
 
962 39 zero_gravi
 
963 37 zero_gravi
      when DISPATCH => -- Get new command from instruction issue engine
964 25 zero_gravi
      -- ------------------------------------------------------------
965 49 zero_gravi
        -- PC update --
966
        execute_engine.pc_mux_sel <= '0'; -- linear next PC
967 40 zero_gravi
        -- IR update --
968 49 zero_gravi
        execute_engine.is_ci_nxt <= cmd_issue.data(32); -- flag to indicate a de-compressed instruction
969
        execute_engine.i_reg_nxt <= cmd_issue.data(31 downto 0);
970 40 zero_gravi
        --
971 37 zero_gravi
        if (cmd_issue.valid = '1') then -- instruction available?
972 49 zero_gravi
          -- PC update --
973
          execute_engine.branched_nxt <= '0';
974
          execute_engine.pc_we        <= not execute_engine.branched; -- update PC with linear next_pc if there was no actual branch
975 40 zero_gravi
          -- IR update - exceptions --
976
          trap_ctrl.instr_ma <= cmd_issue.data(33); -- misaligned instruction fetch address
977
          trap_ctrl.instr_be <= cmd_issue.data(34); -- bus access fault during instruction fetch
978
          illegal_compressed <= cmd_issue.data(35); -- invalid decompressed instruction
979
          -- any reason to go to trap state? --
980 61 zero_gravi
          if (execute_engine.sleep = '1') or -- WFI instruction - this will enter sleep state
981
             (trap_ctrl.env_start = '1') or -- pending trap (IRQ or exception)
982
             ((cmd_issue.data(33) or cmd_issue.data(34)) = '1') then -- exception during instruction fetch of the CURRENT instruction
983 49 zero_gravi
            execute_engine.state_nxt <= TRAP_ENTER;
984 13 zero_gravi
          else
985 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
986 13 zero_gravi
          end if;
987
        end if;
988 2 zero_gravi
 
989 39 zero_gravi
 
990 63 zero_gravi
      when TRAP_ENTER => -- Start trap environment - get xTVEC, stay here for sleep mode
991 2 zero_gravi
      -- ------------------------------------------------------------
992 34 zero_gravi
        if (trap_ctrl.env_start = '1') then -- trap triggered?
993 61 zero_gravi
          trap_ctrl.env_start_ack  <= '1';
994
          execute_engine.state_nxt <= TRAP_EXECUTE;
995 2 zero_gravi
        end if;
996
 
997 63 zero_gravi
      when TRAP_EXIT => -- Return from trap environment - get xEPC
998 49 zero_gravi
      -- ------------------------------------------------------------
999
        trap_ctrl.env_end        <= '1';
1000
        execute_engine.state_nxt <= TRAP_EXECUTE;
1001 39 zero_gravi
 
1002 63 zero_gravi
      when TRAP_EXECUTE => -- Start trap environment -> jump to xTVEC / return from trap environment -> jump to xEPC
1003 49 zero_gravi
      -- ------------------------------------------------------------
1004 60 zero_gravi
        execute_engine.pc_mux_sel <= '0'; -- next_PC
1005 49 zero_gravi
        fetch_engine.reset        <= '1';
1006
        execute_engine.pc_we      <= '1';
1007
        execute_engine.sleep_nxt  <= '0'; -- disable sleep mode
1008
        execute_engine.state_nxt  <= SYS_WAIT;
1009
 
1010
 
1011 60 zero_gravi
      when EXECUTE => -- Decode and execute instruction (control has to be here for exactly 1 cycle in any case!)
1012 2 zero_gravi
      -- ------------------------------------------------------------
1013 36 zero_gravi
        opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
1014
        case opcode_v is
1015 2 zero_gravi
 
1016 60 zero_gravi
          when opcode_alu_c | opcode_alui_c => -- (register/immediate) ALU operation
1017 2 zero_gravi
          -- ------------------------------------------------------------
1018 49 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA
1019
            ctrl_nxt(ctrl_alu_opb_mux_c) <= decode_aux.alu_immediate; -- use IMM as ALU.OPB for immediate operations
1020
            ctrl_nxt(ctrl_rf_in_mux_c)   <= '0'; -- RF input = ALU result
1021 25 zero_gravi
 
1022 60 zero_gravi
            -- ALU arithmetic operation type --
1023 39 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
1024
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then
1025
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_slt_c;
1026 29 zero_gravi
            else
1027 39 zero_gravi
              ctrl_nxt(ctrl_alu_arith_c) <= alu_arith_cmd_addsub_c;
1028 25 zero_gravi
            end if;
1029
 
1030 29 zero_gravi
            -- ADD/SUB --
1031 44 zero_gravi
            if ((decode_aux.alu_immediate = '0') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) or -- not an immediate op and funct7.6 set => SUB
1032 29 zero_gravi
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or -- SLT operation
1033
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) then -- SLTU operation
1034
              ctrl_nxt(ctrl_alu_addsub_c) <= '1'; -- SUB/SLT
1035
            else
1036
              ctrl_nxt(ctrl_alu_addsub_c) <= '0'; -- ADD(I)
1037
            end if;
1038
 
1039 39 zero_gravi
            -- ALU logic operation --
1040
            case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.logic operation (re-coding)
1041
              when funct3_xor_c => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_xor_c; -- XOR(I)
1042
              when funct3_or_c  => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_or_c;  -- OR(I)
1043 40 zero_gravi
              when others       => ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_and_c; -- AND(I)
1044 39 zero_gravi
            end case;
1045
 
1046 44 zero_gravi
            -- co-processor MULDIV operation? --
1047 61 zero_gravi
            if ((CPU_EXTENSION_RISCV_M = true) and ((decode_aux.is_m_mul = '1') or (decode_aux.is_m_div = '1'))) or -- MUL/DIV
1048
               ((CPU_EXTENSION_RISCV_Zmmul = true) and (decode_aux.is_m_mul = '1')) then -- MUL
1049 44 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- use MULDIV CP
1050 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1051 63 zero_gravi
            -- co-processor bit manipulation operation? --
1052
            elsif (CPU_EXTENSION_RISCV_Zbb = true) and
1053
              (((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5))  and (decode_aux.is_bitmanip_reg = '1')) or -- register operation
1054
               ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alui_c(5)) and (decode_aux.is_bitmanip_imm = '1'))) then -- immediate operation
1055
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_bitmanip_c; -- use BITMANIP CP
1056
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1057 61 zero_gravi
            else
1058 44 zero_gravi
            -- ALU operation, function select --
1059 61 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_shifter_c; -- use SHIFTER CP (only relevant for shift operations)
1060
              case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
1061
                when funct3_sll_c | funct3_sr_c => -- SHIFT operation
1062
                  ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1063
                when funct3_xor_c | funct3_or_c | funct3_and_c => -- LOGIC operation
1064
                  ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c;
1065
                when others => -- ARITHMETIC operation
1066
                  ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c;
1067 39 zero_gravi
              end case;
1068
            end if;
1069
 
1070 59 zero_gravi
            -- multi cycle ALU operation? --
1071 25 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or -- SLL shift operation?
1072
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) or -- SR shift operation?
1073 61 zero_gravi
               ((CPU_EXTENSION_RISCV_M = true) and ((decode_aux.is_m_mul = '1') or (decode_aux.is_m_div = '1'))) or -- MUL/DIV
1074 63 zero_gravi
               ((CPU_EXTENSION_RISCV_Zmmul = true) and (decode_aux.is_m_mul = '1')) or -- MUL
1075
               ((CPU_EXTENSION_RISCV_Zbb = true) and (
1076
                ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5))  and (decode_aux.is_bitmanip_reg = '1')) or -- BITMANIP CP register operation?
1077
                ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alui_c(5)) and (decode_aux.is_bitmanip_imm = '1'))) -- BITMANIP CP immediate operation?
1078
               ) then
1079 6 zero_gravi
              execute_engine.state_nxt <= ALU_WAIT;
1080 26 zero_gravi
            else -- single cycle ALU operation
1081 2 zero_gravi
              ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1082 6 zero_gravi
              execute_engine.state_nxt <= DISPATCH;
1083 2 zero_gravi
            end if;
1084
 
1085 25 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC
1086 2 zero_gravi
          -- ------------------------------------------------------------
1087 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only)
1088
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
1089 39 zero_gravi
            ctrl_nxt(ctrl_alu_arith_c)   <= alu_arith_cmd_addsub_c; -- actual ALU operation = ADD
1090
            ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
1091 25 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI
1092 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
1093 27 zero_gravi
            else -- AUIPC
1094 39 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_arith_c; -- actual ALU operation = ADD
1095 2 zero_gravi
            end if;
1096 49 zero_gravi
            ctrl_nxt(ctrl_rf_in_mux_c) <= '0'; -- RF input = ALU result
1097
            ctrl_nxt(ctrl_rf_wb_en_c)  <= '1'; -- valid RF write-back
1098
            execute_engine.state_nxt   <= DISPATCH;
1099 2 zero_gravi
 
1100 53 zero_gravi
          when opcode_load_c | opcode_store_c | opcode_atomic_c => -- load/store / atomic memory access
1101 2 zero_gravi
          -- ------------------------------------------------------------
1102 57 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c)<= '0'; -- use RS1 as ALU.OPA
1103
            ctrl_nxt(ctrl_alu_opb_mux_c)<= '1'; -- use IMM as ALU.OPB
1104
            ctrl_nxt(ctrl_bus_mo_we_c)  <= '1'; -- write to MAR and MDO (MDO only relevant for store)
1105 39 zero_gravi
            --
1106 52 zero_gravi
            if (CPU_EXTENSION_RISCV_A = false) or -- atomic extension disabled
1107 61 zero_gravi
               (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = "00") then  -- normal integer load/store
1108 39 zero_gravi
              execute_engine.state_nxt <= LOADSTORE_0;
1109
            else -- atomic operation
1110
              if (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c) or -- store-conditional
1111
                 (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) then -- load-reservate
1112
                execute_engine.state_nxt <= LOADSTORE_0;
1113
              else -- unimplemented (atomic) instruction
1114
                execute_engine.state_nxt <= SYS_WAIT;
1115
              end if;
1116
            end if;
1117 2 zero_gravi
 
1118 29 zero_gravi
          when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register)
1119 2 zero_gravi
          -- ------------------------------------------------------------
1120 49 zero_gravi
            -- target address (ALU.ADD) operands --
1121 29 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR
1122
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base)
1123 49 zero_gravi
            else -- JAL
1124 29 zero_gravi
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base)
1125 2 zero_gravi
            end if;
1126 29 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset)
1127 49 zero_gravi
            execute_engine.state_nxt     <= BRANCH;
1128 2 zero_gravi
 
1129 8 zero_gravi
          when opcode_fence_c => -- fence operations
1130
          -- ------------------------------------------------------------
1131 39 zero_gravi
            execute_engine.state_nxt <= FENCE_OP;
1132 8 zero_gravi
 
1133 2 zero_gravi
          when opcode_syscsr_c => -- system/csr access
1134
          -- ------------------------------------------------------------
1135 45 zero_gravi
            if (CPU_EXTENSION_RISCV_Zicsr = true) then
1136
              csr.re_nxt <= csr_acc_valid; -- always read CSR if valid access, only relevant for CSR-instructions
1137
              if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system/environment
1138
                execute_engine.state_nxt <= SYS_ENV;
1139
              else -- CSR access
1140
                execute_engine.state_nxt <= CSR_ACCESS;
1141
              end if;
1142
            else
1143
              execute_engine.state_nxt <= SYS_WAIT;
1144 2 zero_gravi
            end if;
1145
 
1146 53 zero_gravi
          when opcode_fop_c => -- floating-point operations
1147 52 zero_gravi
          -- ------------------------------------------------------------
1148 63 zero_gravi
            if (CPU_EXTENSION_RISCV_Zfinx = true) and (decode_aux.is_float_op = '1') then
1149 61 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_fpu_c; -- trigger FPU CP
1150 52 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1151
              execute_engine.state_nxt                           <= ALU_WAIT;
1152 53 zero_gravi
            else
1153
              execute_engine.state_nxt <= SYS_WAIT;
1154 52 zero_gravi
            end if;
1155
 
1156 2 zero_gravi
          when others => -- undefined
1157
          -- ------------------------------------------------------------
1158 39 zero_gravi
            execute_engine.state_nxt <= SYS_WAIT;
1159 2 zero_gravi
 
1160
        end case;
1161
 
1162 39 zero_gravi
 
1163
      when SYS_ENV => -- system environment operation - execution
1164 2 zero_gravi
      -- ------------------------------------------------------------
1165 62 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT; -- default
1166 49 zero_gravi
        case decode_aux.sys_env_cmd is -- use a simplified input here (with permanent zeros)
1167
          when funct12_ecall_c  => trap_ctrl.env_call       <= '1'; -- ECALL
1168
          when funct12_ebreak_c => trap_ctrl.break_point    <= '1'; -- EBREAK
1169 61 zero_gravi
          when funct12_wfi_c    => execute_engine.sleep_nxt <= '1'; -- WFI
1170 62 zero_gravi
          when funct12_mret_c =>  -- MRET
1171 63 zero_gravi
            if (csr.priv_m_mode = '1') then -- only allowed in M-mode
1172 59 zero_gravi
              execute_engine.state_nxt <= TRAP_EXIT;
1173 62 zero_gravi
            else
1174
              NULL;
1175
            end if;
1176
          when funct12_dret_c => -- DRET
1177
            if (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1') then -- only allowed in debug-mode
1178
              execute_engine.state_nxt <= TRAP_EXIT;
1179 59 zero_gravi
              debug_ctrl.dret <= '1';
1180
            else
1181
              NULL;
1182
            end if;
1183 60 zero_gravi
          when others => NULL; -- undefined
1184 39 zero_gravi
        end case;
1185
 
1186
 
1187
      when CSR_ACCESS => -- read & write status and control register (CSR)
1188
      -- ------------------------------------------------------------
1189 27 zero_gravi
        -- CSR write access --
1190 6 zero_gravi
        case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
1191 25 zero_gravi
          when funct3_csrrw_c | funct3_csrrwi_c => -- CSRRW(I)
1192 15 zero_gravi
            csr.we_nxt <= csr_acc_valid; -- always write CSR if valid access
1193 27 zero_gravi
          when funct3_csrrs_c | funct3_csrrsi_c | funct3_csrrc_c | funct3_csrrci_c => -- CSRRS(I) / CSRRC(I)
1194 44 zero_gravi
            csr.we_nxt <= (not decode_aux.rs1_is_r0) and csr_acc_valid; -- write CSR if rs1/imm is not zero and if valid access
1195 29 zero_gravi
          when others => -- invalid
1196 27 zero_gravi
            csr.we_nxt <= '0';
1197 2 zero_gravi
        end case;
1198 27 zero_gravi
        -- register file write back --
1199 61 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_csrr_c;
1200 49 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_c)                         <= '0'; -- RF input = ALU result
1201
        ctrl_nxt(ctrl_rf_wb_en_c)                          <= '1'; -- valid RF write-back
1202
        execute_engine.state_nxt                           <= DISPATCH;
1203 2 zero_gravi
 
1204 39 zero_gravi
 
1205 61 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation (co-processor) to finish
1206 2 zero_gravi
      -- ------------------------------------------------------------
1207 49 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_c) <= '0'; -- RF input = ALU result
1208 61 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_cmd_copro_c;
1209 19 zero_gravi
        -- wait for result --
1210 61 zero_gravi
        if (alu_idone_i = '1') then -- done
1211 56 zero_gravi
          ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1212
          execute_engine.state_nxt  <= DISPATCH;
1213 2 zero_gravi
        end if;
1214
 
1215 39 zero_gravi
 
1216 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
1217
      -- ------------------------------------------------------------
1218 39 zero_gravi
        -- get and store return address (only relevant for jump-and-link operations) --
1219
        ctrl_nxt(ctrl_alu_opb_mux_c)                         <= '1'; -- use IMM as ALU.OPB (next_pc from immediate generator = return address)
1220
        ctrl_nxt(ctrl_alu_logic1_c downto ctrl_alu_logic0_c) <= alu_logic_cmd_movb_c; -- MOVB
1221 62 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c  downto ctrl_alu_func0_c)  <= alu_func_cmd_logic_c; -- actual ALU operation = MOVB
1222 49 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_c)                           <= '0'; -- RF input = ALU result
1223 40 zero_gravi
        ctrl_nxt(ctrl_rf_wb_en_c)                            <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- valid RF write-back? (is jump-and-link?)
1224 39 zero_gravi
        -- destination address --
1225 49 zero_gravi
        execute_engine.pc_mux_sel <= '1'; -- alu.add = branch/jump destination
1226 40 zero_gravi
        if (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') or (execute_engine.branch_taken = '1') then -- JAL/JALR or taken branch
1227 49 zero_gravi
          execute_engine.pc_we        <= '1'; -- update PC
1228
          execute_engine.branched_nxt <= '1'; -- this is an actual branch
1229
          fetch_engine.reset          <= '1'; -- trigger new instruction fetch from modified PC
1230
          execute_engine.state_nxt    <= SYS_WAIT;
1231 11 zero_gravi
        else
1232
          execute_engine.state_nxt <= DISPATCH;
1233 6 zero_gravi
        end if;
1234
 
1235 39 zero_gravi
 
1236
      when FENCE_OP => -- fence operations - execution
1237
      -- ------------------------------------------------------------
1238 47 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1239 39 zero_gravi
        -- FENCE.I --
1240 47 zero_gravi
        if (CPU_EXTENSION_RISCV_Zifencei = true) then
1241 62 zero_gravi
          execute_engine.pc_mux_sel <= '0'; -- linear next PC = start *new* instruction fetch with next instruction
1242 47 zero_gravi
          if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fencei_c(0)) then
1243 49 zero_gravi
            execute_engine.pc_we        <= '1'; -- update PC
1244
            execute_engine.branched_nxt <= '1'; -- this is an actual branch
1245
            fetch_engine.reset          <= '1'; -- trigger new instruction fetch from modified PC
1246 47 zero_gravi
            ctrl_nxt(ctrl_bus_fencei_c) <= '1';
1247
          end if;
1248 39 zero_gravi
        end if;
1249
        -- FENCE --
1250
        if (execute_engine.i_reg(instr_funct3_lsb_c) = funct3_fence_c(0)) then
1251
          ctrl_nxt(ctrl_bus_fence_c) <= '1';
1252
        end if;
1253
 
1254
 
1255 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
1256 6 zero_gravi
      -- ------------------------------------------------------------
1257 57 zero_gravi
        ctrl_nxt(ctrl_bus_lock_c) <= decode_aux.is_atomic_lr; -- atomic.LR: set lock
1258 44 zero_gravi
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (decode_aux.is_atomic_lr = '1') then -- normal load or atomic load-reservate
1259 57 zero_gravi
          ctrl_nxt(ctrl_bus_rd_c)  <= '1'; -- read request
1260 39 zero_gravi
        else -- store
1261 61 zero_gravi
          if (decode_aux.is_atomic_sc = '1') then -- evaluate lock state
1262 57 zero_gravi
            if (excl_state_i = '1') then -- lock is still ok - perform write access
1263
              ctrl_nxt(ctrl_bus_wr_c) <= '1'; -- write request
1264
            end if;
1265
          else
1266
            ctrl_nxt(ctrl_bus_wr_c) <= '1'; -- (normal) write request
1267
          end if;
1268 12 zero_gravi
        end if;
1269
        execute_engine.state_nxt <= LOADSTORE_1;
1270 6 zero_gravi
 
1271 39 zero_gravi
 
1272 61 zero_gravi
      when LOADSTORE_1 => -- memory access latency
1273 6 zero_gravi
      -- ------------------------------------------------------------
1274 61 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- write input data to MDI (only relevant for LOADs)
1275 57 zero_gravi
        execute_engine.state_nxt   <= LOADSTORE_2;
1276 6 zero_gravi
 
1277 39 zero_gravi
 
1278 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
1279 6 zero_gravi
      -- ------------------------------------------------------------
1280 57 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for load (and SC.W) operations)
1281 53 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_c) <= '1'; -- RF input = memory input (only relevant for LOADs)
1282 61 zero_gravi
        -- wait for memory response / exception --
1283 62 zero_gravi
        if (trap_ctrl.env_start = '1') and -- only abort if BUS EXCEPTION
1284
           ((trap_ctrl.cause = trap_lma_c) or (trap_ctrl.cause = trap_lbe_c) or (trap_ctrl.cause = trap_sma_c) or (trap_ctrl.cause = trap_sbe_c)) then
1285 61 zero_gravi
          execute_engine.state_nxt <= SYS_WAIT;
1286 26 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
1287 57 zero_gravi
          -- data write-back --
1288
          if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or -- normal load
1289
             (decode_aux.is_atomic_lr = '1') or -- atomic load-reservate
1290
             (decode_aux.is_atomic_sc = '1') then -- atomic store-conditional
1291 53 zero_gravi
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1';
1292 6 zero_gravi
          end if;
1293 61 zero_gravi
          -- remove atomic lock if this is NOT the LR.W instruction used to SET the lock --
1294
          if (decode_aux.is_atomic_lr = '0') then -- execute and evaluate atomic store-conditional
1295
            ctrl_nxt(ctrl_bus_de_lock_c) <= '1';
1296
          end if;
1297 6 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1298
        end if;
1299
 
1300 39 zero_gravi
 
1301 2 zero_gravi
      when others => -- undefined
1302
      -- ------------------------------------------------------------
1303 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1304 2 zero_gravi
 
1305
    end case;
1306 6 zero_gravi
  end process execute_engine_fsm_comb;
1307 2 zero_gravi
 
1308
 
1309 15 zero_gravi
-- ****************************************************************************************************************************
1310
-- Invalid Instruction / CSR access check
1311
-- ****************************************************************************************************************************
1312
 
1313 49 zero_gravi
  -- CSR Access Check -----------------------------------------------------------------------
1314 15 zero_gravi
  -- -------------------------------------------------------------------------------------------
1315 59 zero_gravi
  csr_access_check: process(execute_engine.i_reg, csr, debug_ctrl)
1316 61 zero_gravi
    variable csr_wacc_v : std_ulogic; -- to check access to read-only CSRs
1317 15 zero_gravi
  begin
1318 58 zero_gravi
    -- is this CSR instruction really going to write to a CSR? --
1319 30 zero_gravi
    if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1320
       (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then
1321
      csr_wacc_v := '1'; -- always write CSR
1322 58 zero_gravi
    else -- clear/set
1323 60 zero_gravi
      csr_wacc_v := or_reduce_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c)); -- write allowed if rs1/uimm5 != 0
1324 30 zero_gravi
    end if;
1325
 
1326 15 zero_gravi
    -- check CSR access --
1327 58 zero_gravi
    csr_acc_valid <= '0'; -- default = invalid access
1328 41 zero_gravi
    case csr.addr is
1329 56 zero_gravi
 
1330 58 zero_gravi
      -- floating-point CSRs --
1331 56 zero_gravi
      when csr_fflags_c | csr_frm_c | csr_fcsr_c =>
1332 63 zero_gravi
        if (CPU_EXTENSION_RISCV_Zfinx = true) then -- FPU implemented?
1333 62 zero_gravi
          csr_acc_valid <= '1'; -- full access for everyone
1334 58 zero_gravi
        else
1335
          NULL;
1336
        end if;
1337 56 zero_gravi
 
1338 60 zero_gravi
      -- machine trap setup & handling --
1339 62 zero_gravi
      when csr_mstatus_c | csr_mstatush_c | csr_misa_c | csr_mie_c | csr_mtvec_c | csr_mcounteren_c | csr_mscratch_c | csr_mepc_c | csr_mcause_c =>
1340 56 zero_gravi
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only, NOTE: MISA is read-only in the NEORV32 but we do not cause an exception here for compatibility
1341 60 zero_gravi
      when csr_mip_c | csr_mtval_c => -- NOTE: MIP and MTVAL are read-only in the NEORV32!
1342 58 zero_gravi
        csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1343 56 zero_gravi
 
1344 63 zero_gravi
      -- physical memory protection (PMP) --
1345
      when csr_pmpaddr0_c  | csr_pmpaddr1_c  | csr_pmpaddr2_c  | csr_pmpaddr3_c  | csr_pmpaddr4_c  | csr_pmpaddr5_c  | csr_pmpaddr6_c  | csr_pmpaddr7_c  | -- address
1346 42 zero_gravi
           csr_pmpaddr8_c  | csr_pmpaddr9_c  | csr_pmpaddr10_c | csr_pmpaddr11_c | csr_pmpaddr12_c | csr_pmpaddr13_c | csr_pmpaddr14_c | csr_pmpaddr15_c |
1347
           csr_pmpaddr16_c | csr_pmpaddr17_c | csr_pmpaddr18_c | csr_pmpaddr19_c | csr_pmpaddr20_c | csr_pmpaddr21_c | csr_pmpaddr22_c | csr_pmpaddr23_c |
1348
           csr_pmpaddr24_c | csr_pmpaddr25_c | csr_pmpaddr26_c | csr_pmpaddr27_c | csr_pmpaddr28_c | csr_pmpaddr29_c | csr_pmpaddr30_c | csr_pmpaddr31_c |
1349
           csr_pmpaddr32_c | csr_pmpaddr33_c | csr_pmpaddr34_c | csr_pmpaddr35_c | csr_pmpaddr36_c | csr_pmpaddr37_c | csr_pmpaddr38_c | csr_pmpaddr39_c |
1350
           csr_pmpaddr40_c | csr_pmpaddr41_c | csr_pmpaddr42_c | csr_pmpaddr43_c | csr_pmpaddr44_c | csr_pmpaddr45_c | csr_pmpaddr46_c | csr_pmpaddr47_c |
1351
           csr_pmpaddr48_c | csr_pmpaddr49_c | csr_pmpaddr50_c | csr_pmpaddr51_c | csr_pmpaddr52_c | csr_pmpaddr53_c | csr_pmpaddr54_c | csr_pmpaddr55_c |
1352 60 zero_gravi
           csr_pmpaddr56_c | csr_pmpaddr57_c | csr_pmpaddr58_c | csr_pmpaddr59_c | csr_pmpaddr60_c | csr_pmpaddr61_c | csr_pmpaddr62_c | csr_pmpaddr63_c |
1353 63 zero_gravi
           csr_pmpcfg0_c   | csr_pmpcfg1_c   | csr_pmpcfg2_c   | csr_pmpcfg3_c   | csr_pmpcfg4_c   | csr_pmpcfg5_c   | csr_pmpcfg6_c   | csr_pmpcfg7_c   | -- configuration
1354 61 zero_gravi
           csr_pmpcfg8_c   | csr_pmpcfg9_c   | csr_pmpcfg10_c  | csr_pmpcfg11_c  | csr_pmpcfg12_c  | csr_pmpcfg13_c  | csr_pmpcfg14_c  | csr_pmpcfg15_c =>
1355 58 zero_gravi
        if (PMP_NUM_REGIONS > 0) then
1356
          csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1357
        else
1358
          NULL;
1359
        end if;
1360 56 zero_gravi
 
1361 61 zero_gravi
      -- hardware performance monitors (HPM) --
1362
      when csr_mhpmcounter3_c   | csr_mhpmcounter4_c   | csr_mhpmcounter5_c   | csr_mhpmcounter6_c   | csr_mhpmcounter7_c   | csr_mhpmcounter8_c   | -- counter LOW
1363 56 zero_gravi
           csr_mhpmcounter9_c   | csr_mhpmcounter10_c  | csr_mhpmcounter11_c  | csr_mhpmcounter12_c  | csr_mhpmcounter13_c  | csr_mhpmcounter14_c  |
1364
           csr_mhpmcounter15_c  | csr_mhpmcounter16_c  | csr_mhpmcounter17_c  | csr_mhpmcounter18_c  | csr_mhpmcounter19_c  | csr_mhpmcounter20_c  |
1365
           csr_mhpmcounter21_c  | csr_mhpmcounter22_c  | csr_mhpmcounter23_c  | csr_mhpmcounter24_c  | csr_mhpmcounter25_c  | csr_mhpmcounter26_c  |
1366
           csr_mhpmcounter27_c  | csr_mhpmcounter28_c  | csr_mhpmcounter29_c  | csr_mhpmcounter30_c  | csr_mhpmcounter31_c  |
1367 61 zero_gravi
           csr_mhpmcounter3h_c  | csr_mhpmcounter4h_c  | csr_mhpmcounter5h_c  | csr_mhpmcounter6h_c  | csr_mhpmcounter7h_c  | csr_mhpmcounter8h_c  | -- counter HIGH
1368 56 zero_gravi
           csr_mhpmcounter9h_c  | csr_mhpmcounter10h_c | csr_mhpmcounter11h_c | csr_mhpmcounter12h_c | csr_mhpmcounter13h_c | csr_mhpmcounter14h_c |
1369
           csr_mhpmcounter15h_c | csr_mhpmcounter16h_c | csr_mhpmcounter17h_c | csr_mhpmcounter18h_c | csr_mhpmcounter19h_c | csr_mhpmcounter20h_c |
1370
           csr_mhpmcounter21h_c | csr_mhpmcounter22h_c | csr_mhpmcounter23h_c | csr_mhpmcounter24h_c | csr_mhpmcounter25h_c | csr_mhpmcounter26h_c |
1371 61 zero_gravi
           csr_mhpmcounter27h_c | csr_mhpmcounter28h_c | csr_mhpmcounter29h_c | csr_mhpmcounter30h_c | csr_mhpmcounter31h_c |
1372
           csr_mhpmevent3_c     | csr_mhpmevent4_c     | csr_mhpmevent5_c     | csr_mhpmevent6_c     | csr_mhpmevent7_c     | csr_mhpmevent8_c     | -- event configuration
1373
           csr_mhpmevent9_c     | csr_mhpmevent10_c    | csr_mhpmevent11_c    | csr_mhpmevent12_c    | csr_mhpmevent13_c    | csr_mhpmevent14_c    |
1374
           csr_mhpmevent15_c    | csr_mhpmevent16_c    | csr_mhpmevent17_c    | csr_mhpmevent18_c    | csr_mhpmevent19_c    | csr_mhpmevent20_c    |
1375
           csr_mhpmevent21_c    | csr_mhpmevent22_c    | csr_mhpmevent23_c    | csr_mhpmevent24_c    | csr_mhpmevent25_c    | csr_mhpmevent26_c    |
1376
           csr_mhpmevent27_c    | csr_mhpmevent28_c    | csr_mhpmevent29_c    | csr_mhpmevent30_c    | csr_mhpmevent31_c =>
1377 58 zero_gravi
        if (HPM_NUM_CNTS > 0) then
1378
          csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1379
        else
1380
          NULL;
1381
        end if;
1382 56 zero_gravi
 
1383 61 zero_gravi
      -- counters/timers --
1384
      when csr_mcycle_c | csr_minstret_c =>
1385
        csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(boolean(cpu_cnt_lo_width_c > 0)); -- M-mode only, access valid if really implemented
1386
      when csr_mcycleh_c | csr_minstreth_c =>
1387
        csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(boolean(cpu_cnt_hi_width_c > 0)); -- M-mode only, access valid if really implemented
1388
 
1389 56 zero_gravi
      when csr_cycle_c =>
1390
        csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy) and bool_to_ulogic_f(boolean(cpu_cnt_lo_width_c > 0)); -- M-mode, U-mode if authorized, read-only, access if implemented
1391
      when csr_cycleh_c =>
1392
        csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy) and bool_to_ulogic_f(boolean(cpu_cnt_hi_width_c > 0)); -- M-mode, U-mode if authorized, read-only, access if implemented
1393
      when csr_instret_c =>
1394
        csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir) and bool_to_ulogic_f(boolean(cpu_cnt_lo_width_c > 0)); -- M-mode, U-mode if authorized, read-only, access if implemented
1395
      when csr_instreth_c =>
1396
        csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir) and bool_to_ulogic_f(boolean(cpu_cnt_hi_width_c > 0)); -- M-mode, U-mode if authorized, read-only, access if implemented
1397
 
1398
      when csr_time_c | csr_timeh_c =>
1399
        csr_acc_valid <= (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- M-mode, U-mode if authorized, read-only
1400
 
1401
      when csr_mcountinhibit_c =>
1402
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only
1403
 
1404 42 zero_gravi
 
1405 63 zero_gravi
      -- machine information registers, read-only --
1406
      when csr_mvendorid_c | csr_marchid_c | csr_mimpid_c | csr_mhartid_c | csr_mconfigptr_c =>
1407 56 zero_gravi
        csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1408 58 zero_gravi
 
1409 59 zero_gravi
      -- debug mode CSRs --
1410
      when csr_dcsr_c | csr_dpc_c | csr_dscratch0_c =>
1411
        if (CPU_EXTENSION_RISCV_DEBUG = true) then
1412 63 zero_gravi
          csr_acc_valid <= debug_ctrl.running; -- access only in debug-mode
1413 59 zero_gravi
        else
1414
          NULL;
1415
        end if;
1416
 
1417 56 zero_gravi
      -- undefined / not implemented --
1418
      when others =>
1419 58 zero_gravi
        NULL; -- invalid access
1420 15 zero_gravi
    end case;
1421 49 zero_gravi
  end process csr_access_check;
1422 15 zero_gravi
 
1423
 
1424 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
1425
  -- -------------------------------------------------------------------------------------------
1426 62 zero_gravi
  illegal_instruction_check: process(execute_engine, decode_aux, csr, csr_acc_valid, debug_ctrl)
1427 36 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
1428 2 zero_gravi
  begin
1429 11 zero_gravi
    -- illegal instructions are checked in the EXECUTE stage
1430 36 zero_gravi
    -- the execute engine should not commit any illegal instruction
1431 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
1432 2 zero_gravi
      -- defaults --
1433
      illegal_instruction <= '0';
1434
      illegal_register    <= '0';
1435
 
1436 36 zero_gravi
      -- check opcode for rv32 --
1437
      if (execute_engine.i_reg(instr_opcode_lsb_c+1 downto instr_opcode_lsb_c) = "11") then
1438
        illegal_opcode_lsbs <= '0';
1439
      else
1440
        illegal_opcode_lsbs <= '1';
1441
      end if;
1442
 
1443 2 zero_gravi
      -- check instructions --
1444 60 zero_gravi
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
1445 36 zero_gravi
      case opcode_v is
1446 2 zero_gravi
 
1447 59 zero_gravi
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c => -- check sufficient LUI, UIPC, JAL (only check actual OPCODE)
1448 52 zero_gravi
        -- ------------------------------------------------------------
1449 2 zero_gravi
          illegal_instruction <= '0';
1450 23 zero_gravi
          -- illegal E-CPU register? --
1451
          if (CPU_EXTENSION_RISCV_E = true) and (execute_engine.i_reg(instr_rd_msb_c) = '1') then
1452
            illegal_register <= '1';
1453
          end if;
1454 2 zero_gravi
 
1455 44 zero_gravi
        when opcode_alu_c => -- check ALU.funct3 & ALU.funct7
1456 52 zero_gravi
        -- ------------------------------------------------------------
1457 61 zero_gravi
          if (decode_aux.is_m_mul = '1') then -- MUL
1458
            if (CPU_EXTENSION_RISCV_M = false) and (CPU_EXTENSION_RISCV_Zmmul = false) then -- not implemented
1459
              illegal_instruction <= '1';
1460
            end if;
1461
          elsif (decode_aux.is_m_div = '1') then -- DIV
1462 44 zero_gravi
            if (CPU_EXTENSION_RISCV_M = false) then -- not implemented
1463
              illegal_instruction <= '1';
1464
            end if;
1465 63 zero_gravi
          elsif (decode_aux.is_bitmanip_reg = '1') then -- bit manipulation
1466
            if (CPU_EXTENSION_RISCV_Zbb = false) then -- not implemented
1467
              illegal_instruction <= '1';
1468
            end if;
1469 44 zero_gravi
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1470
                 (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and -- ADD/SUB or SRA/SRL check
1471
                ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1472
                 (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000")) then -- ADD/SUB or SRA/SRL select
1473
            illegal_instruction <= '1';
1474
          else
1475
            illegal_instruction <= '0';
1476
          end if;
1477
          -- illegal E-CPU register? --
1478
          if (CPU_EXTENSION_RISCV_E = true) and
1479
             ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1480
            illegal_register <= '1';
1481
          end if;
1482
 
1483
        when opcode_alui_c => -- check ALUI.funct7
1484 52 zero_gravi
        -- ------------------------------------------------------------
1485 63 zero_gravi
          if (decode_aux.is_bitmanip_imm = '1') then -- bit manipulation
1486
            if (CPU_EXTENSION_RISCV_Zbb = false) then -- not implemented
1487
              illegal_instruction <= '1';
1488
            end if;
1489
          elsif ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and
1490 6 zero_gravi
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000")) or -- shift logical left
1491
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and
1492
              ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0000000") and
1493
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) /= "0100000"))) then -- shift right
1494 2 zero_gravi
            illegal_instruction <= '1';
1495
          else
1496
            illegal_instruction <= '0';
1497
          end if;
1498 23 zero_gravi
          -- illegal E-CPU register? --
1499
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1500
            illegal_register <= '1';
1501
          end if;
1502 39 zero_gravi
 
1503 44 zero_gravi
        when opcode_load_c => -- check LOAD.funct3
1504 52 zero_gravi
        -- ------------------------------------------------------------
1505 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
1506
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
1507
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1508
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1509
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1510 2 zero_gravi
            illegal_instruction <= '0';
1511
          else
1512
            illegal_instruction <= '1';
1513
          end if;
1514 23 zero_gravi
          -- illegal E-CPU register? --
1515
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1516
            illegal_register <= '1';
1517
          end if;
1518 39 zero_gravi
 
1519 44 zero_gravi
        when opcode_store_c => -- check STORE.funct3
1520 52 zero_gravi
        -- ------------------------------------------------------------
1521 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1522
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1523
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1524 2 zero_gravi
            illegal_instruction <= '0';
1525
          else
1526
            illegal_instruction <= '1';
1527
          end if;
1528 23 zero_gravi
          -- illegal E-CPU register? --
1529
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1530
            illegal_register <= '1';
1531
          end if;
1532 2 zero_gravi
 
1533 44 zero_gravi
        when opcode_branch_c => -- check BRANCH.funct3
1534 52 zero_gravi
        -- ------------------------------------------------------------
1535 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1536
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1537
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1538
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1539
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1540
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1541 2 zero_gravi
            illegal_instruction <= '0';
1542
          else
1543
            illegal_instruction <= '1';
1544
          end if;
1545 23 zero_gravi
          -- illegal E-CPU register? --
1546
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs2_msb_c) = '1') or (execute_engine.i_reg(instr_rs1_msb_c) = '1')) then
1547
            illegal_register <= '1';
1548
          end if;
1549 2 zero_gravi
 
1550 44 zero_gravi
        when opcode_jalr_c => -- check JALR.funct3
1551 52 zero_gravi
        -- ------------------------------------------------------------
1552 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1553 2 zero_gravi
            illegal_instruction <= '0';
1554
          else
1555
            illegal_instruction <= '1';
1556
          end if;
1557 23 zero_gravi
          -- illegal E-CPU register? --
1558
          if (CPU_EXTENSION_RISCV_E = true) and ((execute_engine.i_reg(instr_rs1_msb_c) = '1') or (execute_engine.i_reg(instr_rd_msb_c) = '1')) then
1559
            illegal_register <= '1';
1560
          end if;
1561 2 zero_gravi
 
1562 52 zero_gravi
        when opcode_fence_c => -- fence instructions
1563
        -- ------------------------------------------------------------
1564 61 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) or -- FENCE.I -- NO trap if not implemented
1565
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1566 8 zero_gravi
            illegal_instruction <= '0';
1567
          else
1568
            illegal_instruction <= '1';
1569
          end if;
1570
 
1571 52 zero_gravi
        when opcode_syscsr_c => -- check system instructions
1572
        -- ------------------------------------------------------------
1573 2 zero_gravi
          -- CSR access --
1574 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1575
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1576
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1577
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1578
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1579
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c) then
1580 15 zero_gravi
            -- valid CSR access? --
1581
            if (csr_acc_valid = '1') then
1582 2 zero_gravi
              illegal_instruction <= '0';
1583
            else
1584
              illegal_instruction <= '1';
1585
            end if;
1586 23 zero_gravi
            -- illegal E-CPU register? --
1587
            if (CPU_EXTENSION_RISCV_E = true) then
1588
              if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR
1589
                illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1590
              else -- reg-imm CSR
1591
                illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1592
              end if;
1593
            end if;
1594 2 zero_gravi
 
1595 60 zero_gravi
          -- ecall, ebreak, mret, wfi, dret --
1596 6 zero_gravi
          elsif (execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c)  = "00000") and
1597
                (execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c) = "00000") then
1598 13 zero_gravi
            if (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1599 11 zero_gravi
               (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1600 63 zero_gravi
               ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_mret_c) and (csr.priv_m_mode = '1')) or -- MRET (only allowed in M-mode)
1601
               ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_dret_c) and (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1')) or -- DRET
1602
               ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_wfi_c) and ((csr.priv_m_mode = '1') or (csr.mstatus_tw = '0'))) then -- WFI allowed in M-mode or if mstatus.TW=0
1603 2 zero_gravi
              illegal_instruction <= '0';
1604
            else
1605
              illegal_instruction <= '1';
1606
            end if;
1607
          else
1608
            illegal_instruction <= '1';
1609
          end if;
1610
 
1611 52 zero_gravi
        when opcode_atomic_c => -- atomic instructions
1612
        -- ------------------------------------------------------------
1613 39 zero_gravi
          if (CPU_EXTENSION_RISCV_A = true) and -- atomic memory operations (A extension) enabled
1614
             ((execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_lr_c) or -- LR
1615
              (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = funct5_a_sc_c)) then -- SC
1616
            illegal_instruction <= '0';
1617
          else
1618
            illegal_instruction <= '1';
1619
          end if;
1620
 
1621 53 zero_gravi
        when opcode_fop_c => -- floating point operations - single/dual operands
1622 52 zero_gravi
        -- ------------------------------------------------------------
1623 63 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) and -- F extension implemented
1624 53 zero_gravi
             (execute_engine.i_reg(instr_funct7_lsb_c+1 downto instr_funct7_lsb_c) = float_single_c) and -- single-precision operations only
1625
             (decode_aux.is_float_op = '1') then -- is correct/supported floating-point instruction
1626 52 zero_gravi
            illegal_instruction <= '0';
1627
          else
1628
            illegal_instruction <= '1';
1629
          end if;
1630
 
1631 36 zero_gravi
        when others => -- undefined instruction -> illegal!
1632 52 zero_gravi
        -- ------------------------------------------------------------
1633 36 zero_gravi
          illegal_instruction <= '1';
1634 2 zero_gravi
 
1635
      end case;
1636
    else
1637 36 zero_gravi
      illegal_opcode_lsbs <= '0';
1638 2 zero_gravi
      illegal_instruction <= '0';
1639
      illegal_register    <= '0';
1640
    end if;
1641
  end process illegal_instruction_check;
1642
 
1643
  -- any illegal condition? --
1644 59 zero_gravi
  -- ignore illegal register condition in debug mode
1645
  trap_ctrl.instr_il <= illegal_instruction or illegal_opcode_lsbs or (illegal_register and (not debug_ctrl.running)) or illegal_compressed;
1646 2 zero_gravi
 
1647
 
1648 6 zero_gravi
-- ****************************************************************************************************************************
1649 38 zero_gravi
-- Exception and Interrupt (= Trap) Control
1650 6 zero_gravi
-- ****************************************************************************************************************************
1651 2 zero_gravi
 
1652 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1653 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1654 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1655 40 zero_gravi
    variable mode_m_v, mode_u_v : std_ulogic;
1656 2 zero_gravi
  begin
1657
    if (rstn_i = '0') then
1658 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1659 56 zero_gravi
      trap_ctrl.irq_buf   <= (others => def_rst_val_c);
1660 59 zero_gravi
      trap_ctrl.irq_buf(interrupt_nm_irq_c)   <= '0'; -- NMI
1661
      trap_ctrl.irq_buf(interrupt_db_halt_c)  <= '0'; -- enter debug mode
1662
      trap_ctrl.irq_buf(interrupt_db_step_c)  <= '0'; -- enter debug mode
1663 6 zero_gravi
      trap_ctrl.exc_ack   <= '0';
1664
      trap_ctrl.irq_ack   <= (others => '0');
1665 47 zero_gravi
      trap_ctrl.env_start <= '0';
1666 56 zero_gravi
      trap_ctrl.cause     <= (others => def_rst_val_c);
1667 2 zero_gravi
    elsif rising_edge(clk_i) then
1668
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1669 59 zero_gravi
 
1670 2 zero_gravi
        -- exception buffer: misaligned load/store/instruction address
1671 59 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c) <= (trap_ctrl.exc_buf(exception_lalign_c) or ma_load_i)          and (not trap_ctrl.exc_ack);
1672
        trap_ctrl.exc_buf(exception_salign_c) <= (trap_ctrl.exc_buf(exception_salign_c) or ma_store_i)         and (not trap_ctrl.exc_ack);
1673
        trap_ctrl.exc_buf(exception_ialign_c) <= (trap_ctrl.exc_buf(exception_ialign_c) or trap_ctrl.instr_ma) and (not trap_ctrl.exc_ack);
1674
 
1675 2 zero_gravi
        -- exception buffer: load/store/instruction bus access error
1676 59 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c) <= (trap_ctrl.exc_buf(exception_laccess_c) or be_load_i)          and (not trap_ctrl.exc_ack);
1677
        trap_ctrl.exc_buf(exception_saccess_c) <= (trap_ctrl.exc_buf(exception_saccess_c) or be_store_i)         and (not trap_ctrl.exc_ack);
1678
        trap_ctrl.exc_buf(exception_iaccess_c) <= (trap_ctrl.exc_buf(exception_iaccess_c) or trap_ctrl.instr_be) and (not trap_ctrl.exc_ack);
1679
 
1680 2 zero_gravi
        -- exception buffer: illegal instruction / env call / break point
1681 40 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or (trap_ctrl.env_call and csr.priv_m_mode)) and (not trap_ctrl.exc_ack);
1682
        trap_ctrl.exc_buf(exception_u_envcall_c) <= (trap_ctrl.exc_buf(exception_u_envcall_c) or (trap_ctrl.env_call and csr.priv_u_mode)) and (not trap_ctrl.exc_ack);
1683
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)                       and (not trap_ctrl.exc_ack);
1684 59 zero_gravi
        if (CPU_EXTENSION_RISCV_DEBUG = true) then
1685
          trap_ctrl.exc_buf(exception_break_c) <= (trap_ctrl.exc_buf(exception_break_c) or
1686
            (
1687
              (trap_ctrl.break_point and csr.priv_m_mode and (not csr.dcsr_ebreakm) and (not debug_ctrl.running)) or -- enable break to machine-trap-handler when in machine mode on "ebreak"
1688
              (trap_ctrl.break_point and csr.priv_u_mode and (not csr.dcsr_ebreaku) and (not debug_ctrl.running))    -- enable break to machine-trap-handler when in user mode on "ebreak"
1689
            )
1690
          ) and (not trap_ctrl.exc_ack);
1691
        else
1692
          trap_ctrl.exc_buf(exception_break_c) <= (trap_ctrl.exc_buf(exception_break_c) or trap_ctrl.break_point) and (not trap_ctrl.exc_ack);
1693
        end if;
1694
 
1695
        -- enter debug mode --
1696
        if (CPU_EXTENSION_RISCV_DEBUG = true) then
1697
          trap_ctrl.exc_buf(exception_db_break_c) <= (trap_ctrl.exc_buf(exception_db_break_c) or debug_ctrl.trig_break) and (not trap_ctrl.exc_ack);
1698
          trap_ctrl.irq_buf(interrupt_db_halt_c)  <= (trap_ctrl.irq_buf(interrupt_db_halt_c)  or debug_ctrl.trig_halt)  and (not trap_ctrl.irq_ack(interrupt_db_halt_c));
1699
          trap_ctrl.irq_buf(interrupt_db_step_c)  <= (trap_ctrl.irq_buf(interrupt_db_step_c)  or debug_ctrl.trig_step)  and (not trap_ctrl.irq_ack(interrupt_db_step_c));
1700
        else
1701
          trap_ctrl.exc_buf(exception_db_break_c) <= '0';
1702
          trap_ctrl.irq_buf(interrupt_db_halt_c)  <= '0';
1703
          trap_ctrl.irq_buf(interrupt_db_step_c)  <= '0';
1704
        end if;
1705
 
1706 58 zero_gravi
        -- interrupt buffer: non-maskable interrupt
1707
        trap_ctrl.irq_buf(interrupt_nm_irq_c)    <= (trap_ctrl.irq_buf(interrupt_nm_irq_c) or nm_irq_i) and (not trap_ctrl.irq_ack(interrupt_nm_irq_c));
1708 18 zero_gravi
        -- interrupt buffer: machine software/external/timer interrupt
1709 58 zero_gravi
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and (trap_ctrl.irq_buf(interrupt_msw_irq_c)   or msw_irq_i)   and (not trap_ctrl.irq_ack(interrupt_msw_irq_c));
1710
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and (trap_ctrl.irq_buf(interrupt_mext_irq_c)  or mext_irq_i)  and (not trap_ctrl.irq_ack(interrupt_mext_irq_c));
1711
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and (trap_ctrl.irq_buf(interrupt_mtime_irq_c) or mtime_irq_i) and (not trap_ctrl.irq_ack(interrupt_mtime_irq_c));
1712 48 zero_gravi
        -- interrupt buffer: NEORV32-specific fast interrupts
1713
        for i in 0 to 15 loop
1714 58 zero_gravi
          trap_ctrl.irq_buf(interrupt_firq_0_c+i) <= csr.mie_firqe(i) and (trap_ctrl.irq_buf(interrupt_firq_0_c+i) or firq_i(i)) and (not trap_ctrl.irq_ack(interrupt_firq_0_c+i));
1715 48 zero_gravi
        end loop;
1716 59 zero_gravi
 
1717 6 zero_gravi
        -- trap control --
1718
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1719 49 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- trap triggered!
1720
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP_ENTER))) then -- fire IRQs in EXECUTE or TRAP state only to continue execution even on permanent IRQ
1721 13 zero_gravi
            trap_ctrl.cause     <= trap_ctrl.cause_nxt;   -- capture source ID for program (for mcause csr)
1722 58 zero_gravi
            trap_ctrl.exc_ack   <= '1';                   -- clear exception
1723 42 zero_gravi
            trap_ctrl.irq_ack   <= trap_ctrl.irq_ack_nxt; -- clear interrupt with interrupt ACK mask
1724 13 zero_gravi
            trap_ctrl.env_start <= '1';                   -- now execute engine can start trap handler
1725 2 zero_gravi
          end if;
1726 6 zero_gravi
        else -- trap waiting to get started
1727
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1728
            trap_ctrl.exc_ack   <= '0';
1729
            trap_ctrl.irq_ack   <= (others => '0');
1730
            trap_ctrl.env_start <= '0';
1731 2 zero_gravi
          end if;
1732
        end if;
1733
      end if;
1734
    end if;
1735 6 zero_gravi
  end process trap_controller;
1736 2 zero_gravi
 
1737
  -- any exception/interrupt? --
1738 60 zero_gravi
  trap_ctrl.exc_fire <= or_reduce_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked
1739
  trap_ctrl.irq_fire <= (or_reduce_f(trap_ctrl.irq_buf) and csr.mstatus_mie and trap_ctrl.db_irq_en) or trap_ctrl.db_irq_fire; -- interrupts CAN be masked
1740 2 zero_gravi
 
1741 59 zero_gravi
  -- debug mode (entry) interrupts --
1742 61 zero_gravi
  trap_ctrl.db_irq_en   <= '0' when (CPU_EXTENSION_RISCV_DEBUG = true) and ((debug_ctrl.running = '1') or (csr.dcsr_step = '1')) else '1'; -- no interrupts when IN debug mode or IN single-step mode
1743 59 zero_gravi
  trap_ctrl.db_irq_fire <= (trap_ctrl.irq_buf(interrupt_db_step_c) or trap_ctrl.irq_buf(interrupt_db_halt_c)) when (CPU_EXTENSION_RISCV_DEBUG = true) else '0'; -- "NMI" for debug mode entry
1744
 
1745 40 zero_gravi
 
1746 42 zero_gravi
  -- Trap Priority Encoder ------------------------------------------------------------------
1747 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
1748
  trap_priority: process(trap_ctrl)
1749 2 zero_gravi
  begin
1750
    -- defaults --
1751 59 zero_gravi
    trap_ctrl.cause_nxt   <= (others => '0');
1752 6 zero_gravi
    trap_ctrl.irq_ack_nxt <= (others => '0');
1753 2 zero_gravi
 
1754 58 zero_gravi
    -- ----------------------------------------------------------------------------------------
1755 59 zero_gravi
    -- enter debug mode requests; basically, these are standard interrupt that have some
1756
    -- special handling - they have the highest priority in order to go to debug when requested
1757
    -- even if other traps are pending right now; the <trap_ctrl.cause_nxt> value will be
1758
    -- written to csr.dcsr_cause instead of mcause
1759
    -- ----------------------------------------------------------------------------------------
1760
 
1761
    -- break instruction --
1762
    if (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.exc_buf(exception_db_break_c) = '1') then
1763
      trap_ctrl.cause_nxt <= trap_db_break_c;
1764
 
1765
    -- external halt request --
1766
    elsif (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.irq_buf(interrupt_db_halt_c) = '1') then
1767
      trap_ctrl.cause_nxt <= trap_db_halt_c;
1768
      trap_ctrl.irq_ack_nxt(interrupt_db_halt_c) <= '1';
1769
 
1770
 
1771
    -- ----------------------------------------------------------------------------------------
1772 38 zero_gravi
    -- the following traps are caused by *asynchronous* exceptions (= interrupts)
1773 12 zero_gravi
    -- here we do need a specific acknowledge mask since several sources can trigger at once
1774 58 zero_gravi
    -- ----------------------------------------------------------------------------------------
1775 9 zero_gravi
 
1776 58 zero_gravi
    -- interrupt: 1.0 non-maskable interrupt --
1777 59 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_nm_irq_c) = '1') then
1778 58 zero_gravi
      trap_ctrl.cause_nxt <= trap_nmi_c;
1779
      trap_ctrl.irq_ack_nxt(interrupt_nm_irq_c) <= '1';
1780
 
1781
 
1782 2 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1783 58 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1784 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mei_c;
1785 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mext_irq_c) <= '1';
1786 2 zero_gravi
 
1787 40 zero_gravi
    -- interrupt: 1.3 machine SW interrupt --
1788
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1789
      trap_ctrl.cause_nxt <= trap_msi_c;
1790
      trap_ctrl.irq_ack_nxt(interrupt_msw_irq_c) <= '1';
1791
 
1792 2 zero_gravi
    -- interrupt: 1.7 machine timer interrupt --
1793 6 zero_gravi
    elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then
1794 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_mti_c;
1795 6 zero_gravi
      trap_ctrl.irq_ack_nxt(interrupt_mtime_irq_c) <= '1';
1796 2 zero_gravi
 
1797
 
1798 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1799
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1800
      trap_ctrl.cause_nxt <= trap_firq0_c;
1801
      trap_ctrl.irq_ack_nxt(interrupt_firq_0_c) <= '1';
1802
 
1803
    -- interrupt: 1.17 fast interrupt channel 1 --
1804
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1805
      trap_ctrl.cause_nxt <= trap_firq1_c;
1806
      trap_ctrl.irq_ack_nxt(interrupt_firq_1_c) <= '1';
1807
 
1808
    -- interrupt: 1.18 fast interrupt channel 2 --
1809
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1810
      trap_ctrl.cause_nxt <= trap_firq2_c;
1811
      trap_ctrl.irq_ack_nxt(interrupt_firq_2_c) <= '1';
1812
 
1813
    -- interrupt: 1.19 fast interrupt channel 3 --
1814
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1815
      trap_ctrl.cause_nxt <= trap_firq3_c;
1816
      trap_ctrl.irq_ack_nxt(interrupt_firq_3_c) <= '1';
1817
 
1818 47 zero_gravi
    -- interrupt: 1.20 fast interrupt channel 4 --
1819
    elsif (trap_ctrl.irq_buf(interrupt_firq_4_c) = '1') then
1820
      trap_ctrl.cause_nxt <= trap_firq4_c;
1821
      trap_ctrl.irq_ack_nxt(interrupt_firq_4_c) <= '1';
1822 14 zero_gravi
 
1823 47 zero_gravi
    -- interrupt: 1.21 fast interrupt channel 5 --
1824
    elsif (trap_ctrl.irq_buf(interrupt_firq_5_c) = '1') then
1825
      trap_ctrl.cause_nxt <= trap_firq5_c;
1826
      trap_ctrl.irq_ack_nxt(interrupt_firq_5_c) <= '1';
1827
 
1828
    -- interrupt: 1.22 fast interrupt channel 6 --
1829
    elsif (trap_ctrl.irq_buf(interrupt_firq_6_c) = '1') then
1830
      trap_ctrl.cause_nxt <= trap_firq6_c;
1831
      trap_ctrl.irq_ack_nxt(interrupt_firq_6_c) <= '1';
1832
 
1833
    -- interrupt: 1.23 fast interrupt channel 7 --
1834
    elsif (trap_ctrl.irq_buf(interrupt_firq_7_c) = '1') then
1835
      trap_ctrl.cause_nxt <= trap_firq7_c;
1836
      trap_ctrl.irq_ack_nxt(interrupt_firq_7_c) <= '1';
1837
 
1838 48 zero_gravi
    -- interrupt: 1.24 fast interrupt channel 8 --
1839
    elsif (trap_ctrl.irq_buf(interrupt_firq_8_c) = '1') then
1840
      trap_ctrl.cause_nxt <= trap_firq8_c;
1841
      trap_ctrl.irq_ack_nxt(interrupt_firq_8_c) <= '1';
1842 47 zero_gravi
 
1843 48 zero_gravi
    -- interrupt: 1.25 fast interrupt channel 9 --
1844
    elsif (trap_ctrl.irq_buf(interrupt_firq_9_c) = '1') then
1845
      trap_ctrl.cause_nxt <= trap_firq9_c;
1846
      trap_ctrl.irq_ack_nxt(interrupt_firq_9_c) <= '1';
1847
 
1848
    -- interrupt: 1.26 fast interrupt channel 10 --
1849
    elsif (trap_ctrl.irq_buf(interrupt_firq_10_c) = '1') then
1850
      trap_ctrl.cause_nxt <= trap_firq10_c;
1851
      trap_ctrl.irq_ack_nxt(interrupt_firq_10_c) <= '1';
1852
 
1853
    -- interrupt: 1.27 fast interrupt channel 11 --
1854
    elsif (trap_ctrl.irq_buf(interrupt_firq_11_c) = '1') then
1855
      trap_ctrl.cause_nxt <= trap_firq11_c;
1856
      trap_ctrl.irq_ack_nxt(interrupt_firq_11_c) <= '1';
1857
 
1858
    -- interrupt: 1.28 fast interrupt channel 12 --
1859
    elsif (trap_ctrl.irq_buf(interrupt_firq_12_c) = '1') then
1860
      trap_ctrl.cause_nxt <= trap_firq12_c;
1861
      trap_ctrl.irq_ack_nxt(interrupt_firq_12_c) <= '1';
1862
 
1863
    -- interrupt: 1.29 fast interrupt channel 13 --
1864
    elsif (trap_ctrl.irq_buf(interrupt_firq_13_c) = '1') then
1865
      trap_ctrl.cause_nxt <= trap_firq13_c;
1866
      trap_ctrl.irq_ack_nxt(interrupt_firq_13_c) <= '1';
1867
 
1868
    -- interrupt: 1.30 fast interrupt channel 14 --
1869
    elsif (trap_ctrl.irq_buf(interrupt_firq_14_c) = '1') then
1870
      trap_ctrl.cause_nxt <= trap_firq14_c;
1871
      trap_ctrl.irq_ack_nxt(interrupt_firq_14_c) <= '1';
1872
 
1873
    -- interrupt: 1.31 fast interrupt channel 15 --
1874
    elsif (trap_ctrl.irq_buf(interrupt_firq_15_c) = '1') then
1875
      trap_ctrl.cause_nxt <= trap_firq15_c;
1876
      trap_ctrl.irq_ack_nxt(interrupt_firq_15_c) <= '1';
1877
 
1878
 
1879 58 zero_gravi
    -- ----------------------------------------------------------------------------------------
1880 42 zero_gravi
    -- the following traps are caused by *synchronous* exceptions (= 'classic' exceptions)
1881 12 zero_gravi
    -- here we do not need a specific acknowledge mask since only one exception (the one
1882 38 zero_gravi
    -- with highest priority) is evaluated at once
1883 58 zero_gravi
    -- ----------------------------------------------------------------------------------------
1884 4 zero_gravi
 
1885 38 zero_gravi
    -- exception: 0.1 instruction access fault --
1886 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1887 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iba_c;
1888 2 zero_gravi
 
1889 38 zero_gravi
    -- exception: 0.2 illegal instruction --
1890 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1891 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_iil_c;
1892 2 zero_gravi
 
1893 38 zero_gravi
    -- exception: 0.0 instruction address misaligned --
1894 12 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1895
      trap_ctrl.cause_nxt <= trap_ima_c;
1896 2 zero_gravi
 
1897 12 zero_gravi
 
1898 38 zero_gravi
    -- exception: 0.11 environment call from M-mode --
1899 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1900 14 zero_gravi
      trap_ctrl.cause_nxt <= trap_menv_c;
1901 2 zero_gravi
 
1902 40 zero_gravi
    -- exception: 0.8 environment call from U-mode --
1903
    elsif (trap_ctrl.exc_buf(exception_u_envcall_c) = '1') then
1904
      trap_ctrl.cause_nxt <= trap_uenv_c;
1905
 
1906 38 zero_gravi
    -- exception: 0.3 breakpoint --
1907 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1908 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_brk_c;
1909 2 zero_gravi
 
1910
 
1911 38 zero_gravi
    -- exception: 0.6 store address misaligned -
1912 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1913 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sma_c;
1914 2 zero_gravi
 
1915 38 zero_gravi
    -- exception: 0.4 load address misaligned --
1916 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1917 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lma_c;
1918 2 zero_gravi
 
1919 38 zero_gravi
    -- exception: 0.7 store access fault --
1920 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1921 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_sbe_c;
1922 2 zero_gravi
 
1923 38 zero_gravi
    -- exception: 0.5 load access fault --
1924 6 zero_gravi
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1925 12 zero_gravi
      trap_ctrl.cause_nxt <= trap_lbe_c;
1926 59 zero_gravi
 
1927
 
1928
    -- ----------------------------------------------------------------------------------------
1929
    -- re-enter debug mode during single-stepping; this debug mode entry trap has the lowest
1930
    -- priority to let "normal" traps kick in during single stepping
1931
    -- ----------------------------------------------------------------------------------------
1932
 
1933
    -- single stepping --
1934
    elsif (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.irq_buf(interrupt_db_step_c) = '1') then
1935
      trap_ctrl.cause_nxt <= trap_db_step_c;
1936
      trap_ctrl.irq_ack_nxt(interrupt_db_step_c) <= '1';
1937 2 zero_gravi
    end if;
1938 6 zero_gravi
  end process trap_priority;
1939
 
1940 2 zero_gravi
 
1941 6 zero_gravi
-- ****************************************************************************************************************************
1942
-- Control and Status Registers (CSRs)
1943
-- ****************************************************************************************************************************
1944 2 zero_gravi
 
1945 27 zero_gravi
  -- Control and Status Registers Write Data ------------------------------------------------
1946
  -- -------------------------------------------------------------------------------------------
1947 36 zero_gravi
  csr_write_data: process(execute_engine.i_reg, csr.rdata, rs1_i)
1948
    variable csr_operand_v : std_ulogic_vector(data_width_c-1 downto 0);
1949 27 zero_gravi
  begin
1950 36 zero_gravi
    -- CSR operand source --
1951
    if (execute_engine.i_reg(instr_funct3_msb_c) = '1') then -- immediate
1952
      csr_operand_v := (others => '0');
1953 38 zero_gravi
      csr_operand_v(4 downto 0) := execute_engine.i_reg(19 downto 15); -- uimm5
1954 36 zero_gravi
    else -- register
1955
      csr_operand_v := rs1_i;
1956
    end if;
1957 40 zero_gravi
    -- tiny ALU for CSR write operations --
1958 27 zero_gravi
    case execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c) is
1959 36 zero_gravi
      when "10"   => csr.wdata <= csr.rdata or csr_operand_v; -- CSRRS(I)
1960
      when "11"   => csr.wdata <= csr.rdata and (not csr_operand_v); -- CSRRC(I)
1961
      when others => csr.wdata <= csr_operand_v; -- CSRRW(I)
1962 27 zero_gravi
    end case;
1963
  end process csr_write_data;
1964
 
1965
 
1966 52 zero_gravi
  -- Control and Status Registers - Write Access --------------------------------------------
1967 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1968
  csr_write_access: process(rstn_i, clk_i)
1969
  begin
1970 59 zero_gravi
    -- NOTE: If <dedicated_reset_c> = true then <def_rst_val_c> evaluates to '-'. Register that reset to <def_rst_val_c> do
1971
    -- NOT actually have a real reset by default (def_rst_val_c = '-') and have to be explicitly initialized by software!
1972 56 zero_gravi
    -- see: https://forums.xilinx.com/t5/General-Technical-Discussion/quot-Don-t-care-quot-reset-value/td-p/412845
1973 2 zero_gravi
    if (rstn_i = '0') then
1974 40 zero_gravi
      csr.we           <= '0';
1975 11 zero_gravi
      --
1976 6 zero_gravi
      csr.mstatus_mie  <= '0';
1977
      csr.mstatus_mpie <= '0';
1978 56 zero_gravi
      csr.mstatus_mpp  <= (others => '0');
1979 62 zero_gravi
      csr.mstatus_tw   <= '0';
1980 29 zero_gravi
      csr.privilege    <= priv_mode_m_c; -- start in MACHINE mode
1981 56 zero_gravi
      csr.mie_msie     <= def_rst_val_c;
1982
      csr.mie_meie     <= def_rst_val_c;
1983
      csr.mie_mtie     <= def_rst_val_c;
1984
      csr.mie_firqe    <= (others => def_rst_val_c);
1985
      csr.mtvec        <= (others => def_rst_val_c);
1986
      csr.mscratch     <= x"19880704";
1987
      csr.mepc         <= (others => def_rst_val_c);
1988
      csr.mcause       <= (others => def_rst_val_c);
1989
      csr.mtval        <= (others => def_rst_val_c);
1990 42 zero_gravi
      --
1991 52 zero_gravi
      csr.pmpcfg  <= (others => (others => '0'));
1992 56 zero_gravi
      csr.pmpaddr <= (others => (others => def_rst_val_c));
1993 34 zero_gravi
      --
1994 56 zero_gravi
      csr.mhpmevent <= (others => (others => def_rst_val_c));
1995 41 zero_gravi
      --
1996 61 zero_gravi
      csr.mcounteren_cy <= def_rst_val_c;
1997
      csr.mcounteren_tm <= def_rst_val_c;
1998
      csr.mcounteren_ir <= def_rst_val_c;
1999 42 zero_gravi
      --
2000 56 zero_gravi
      csr.mcountinhibit_cy  <= def_rst_val_c;
2001
      csr.mcountinhibit_ir  <= def_rst_val_c;
2002
      csr.mcountinhibit_hpm <= (others => def_rst_val_c);
2003 52 zero_gravi
      --
2004 56 zero_gravi
      csr.fflags <= (others => def_rst_val_c);
2005
      csr.frm    <= (others => def_rst_val_c);
2006 59 zero_gravi
      --
2007
      csr.dcsr_ebreakm <= '0';
2008
      csr.dcsr_ebreaku <= '0';
2009
      csr.dcsr_step    <= '0';
2010
      csr.dcsr_prv     <= (others => def_rst_val_c);
2011
      csr.dcsr_cause   <= (others => def_rst_val_c);
2012
      csr.dpc          <= (others => def_rst_val_c);
2013
      csr.dscratch0    <= (others => def_rst_val_c);
2014 49 zero_gravi
 
2015 2 zero_gravi
    elsif rising_edge(clk_i) then
2016 29 zero_gravi
      -- write access? --
2017
      csr.we <= csr.we_nxt;
2018 56 zero_gravi
 
2019 36 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
2020
        -- --------------------------------------------------------------------------------
2021
        -- CSR access by application software
2022
        -- --------------------------------------------------------------------------------
2023
        if (csr.we = '1') then -- manual update
2024 52 zero_gravi
 
2025
          -- user floating-point CSRs --
2026
          -- --------------------------------------------------------------------
2027 56 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) then -- floating point CSR class
2028
            if (csr.addr(11 downto 4) = csr_class_float_c) and (csr.addr(3 downto 2) = csr_fcsr_c(3 downto 2)) then
2029
              case csr.addr(1 downto 0) is
2030
                when "01" => -- R/W: fflags - floating-point (FPU) exception flags
2031
                  csr.fflags <= csr.wdata(4 downto 0);
2032
                when "10" => -- R/W: frm - floating-point (FPU) rounding mode
2033
                  csr.frm    <= csr.wdata(2 downto 0);
2034
                when "11" => -- R/W: fcsr - floating-point (FPU) control/status (frm + fflags)
2035
                  csr.frm    <= csr.wdata(7 downto 5);
2036
                  csr.fflags <= csr.wdata(4 downto 0);
2037
                when others => NULL;
2038
              end case;
2039 52 zero_gravi
            end if;
2040
          end if;
2041
 
2042
          -- machine trap setup --
2043
          -- --------------------------------------------------------------------
2044 63 zero_gravi
          if (csr.addr(11 downto 3) = csr_class_setup_c) then -- trap setup CSR class
2045 52 zero_gravi
            -- R/W: mstatus - machine status register --
2046 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mstatus_c(2 downto 0)) then
2047 36 zero_gravi
              csr.mstatus_mie  <= csr.wdata(03);
2048
              csr.mstatus_mpie <= csr.wdata(07);
2049
              if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
2050
                csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12);
2051
                csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12);
2052 62 zero_gravi
                csr.mstatus_tw     <= csr.wdata(21);
2053 36 zero_gravi
              end if;
2054 52 zero_gravi
            end if;
2055
            -- R/W: mie - machine interrupt enable register --
2056 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mie_c(2 downto 0)) then
2057 29 zero_gravi
              csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable
2058
              csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable
2059
              csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable
2060 48 zero_gravi
              for i in 0 to 15 loop -- fast interrupt channels 0..15
2061
                csr.mie_firqe(i) <= csr.wdata(16+i);
2062
              end loop; -- i
2063 52 zero_gravi
            end if;
2064
            -- R/W: mtvec - machine trap-handler base address (for ALL exceptions) --
2065 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mtvec_c(2 downto 0)) then
2066 29 zero_gravi
              csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
2067 52 zero_gravi
            end if;
2068
            -- R/W: machine counter enable register --
2069 56 zero_gravi
            if (CPU_EXTENSION_RISCV_U = true) then -- this CSR is hardwired to zero if user mode is not implemented
2070 63 zero_gravi
              if (csr.addr(2 downto 0) = csr_mcounteren_c(2 downto 0)) then
2071 61 zero_gravi
                csr.mcounteren_cy <= csr.wdata(0); -- enable user-level access to cycle[h]
2072
                csr.mcounteren_tm <= csr.wdata(1); -- enable user-level access to time[h]
2073
                csr.mcounteren_ir <= csr.wdata(2); -- enable user-level access to instret[h]
2074 51 zero_gravi
              end if;
2075 52 zero_gravi
            end if;
2076
          end if;
2077 29 zero_gravi
 
2078 52 zero_gravi
          -- machine trap handling --
2079
          -- --------------------------------------------------------------------
2080 63 zero_gravi
          if (csr.addr(11 downto 3) = csr_class_trap_c) then -- machine trap handling CSR class
2081 52 zero_gravi
            -- R/W: mscratch - machine scratch register --
2082 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mscratch_c(2 downto 0)) then
2083 36 zero_gravi
              csr.mscratch <= csr.wdata;
2084 52 zero_gravi
            end if;
2085
            -- R/W: mepc - machine exception program counter --
2086 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mepc_c(2 downto 0)) then
2087 36 zero_gravi
              csr.mepc <= csr.wdata(data_width_c-1 downto 1) & '0';
2088 52 zero_gravi
            end if;
2089
            -- R/W: mcause - machine trap cause --
2090 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mcause_c(2 downto 0)) then
2091 36 zero_gravi
              csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: interrupt, 0: exception
2092
              csr.mcause(4 downto 0)      <= csr.wdata(4 downto 0); -- identifier
2093 52 zero_gravi
            end if;
2094
          end if;
2095 29 zero_gravi
 
2096 52 zero_gravi
          -- physical memory protection: R/W: pmpcfg* - PMP configuration registers --
2097
          -- --------------------------------------------------------------------
2098 56 zero_gravi
          if (PMP_NUM_REGIONS > 0) then
2099
            if (csr.addr(11 downto 4) = csr_class_pmpcfg_c) then -- pmp configuration CSR class
2100 52 zero_gravi
              for i in 0 to PMP_NUM_REGIONS-1 loop
2101
                if (csr.addr(3 downto 0) = std_ulogic_vector(to_unsigned(i, 4))) then
2102
                  if (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpcfg access
2103
                    csr.pmpcfg(i)(0) <= csr.wdata((i mod 4)*8+0); -- R (rights.read)
2104
                    csr.pmpcfg(i)(1) <= csr.wdata((i mod 4)*8+1); -- W (rights.write)
2105
                    csr.pmpcfg(i)(2) <= csr.wdata((i mod 4)*8+2); -- X (rights.execute)
2106
                    csr.pmpcfg(i)(3) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_L
2107
                    csr.pmpcfg(i)(4) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_H - NAPOT/OFF only
2108
                    csr.pmpcfg(i)(5) <= '0'; -- reserved
2109
                    csr.pmpcfg(i)(6) <= '0'; -- reserved
2110
                    csr.pmpcfg(i)(7) <= csr.wdata((i mod 4)*8+7); -- L (locked / rights also enforced in m-mode)
2111 36 zero_gravi
                  end if;
2112 52 zero_gravi
                end if;
2113
              end loop; -- i (PMP regions)
2114
            end if;
2115
          end if;
2116 4 zero_gravi
 
2117 52 zero_gravi
          -- physical memory protection: R/W: pmpaddr* - PMP address registers --
2118
          -- --------------------------------------------------------------------
2119 56 zero_gravi
          if (PMP_NUM_REGIONS > 0) then
2120
            if (csr.addr(11 downto 4) =  csr_pmpaddr0_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr16_c(11 downto 4)) or
2121
               (csr.addr(11 downto 4) = csr_pmpaddr32_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr48_c(11 downto 4)) then
2122 52 zero_gravi
              for i in 0 to PMP_NUM_REGIONS-1 loop
2123
                if (csr.addr(6 downto 0) = std_ulogic_vector(unsigned(csr_pmpaddr0_c(6 downto 0)) + i)) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
2124
                  csr.pmpaddr(i) <= csr.wdata;
2125
                  csr.pmpaddr(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
2126
                end if;
2127
              end loop; -- i (PMP regions)
2128
            end if;
2129
          end if;
2130 2 zero_gravi
 
2131 52 zero_gravi
          -- machine counter setup --
2132
          -- --------------------------------------------------------------------
2133 56 zero_gravi
          if (csr.addr(11 downto 5) = csr_cnt_setup_c) then -- counter configuration CSR class
2134
            -- R/W: mcountinhibit - machine counter-inhibit register --
2135
            if (csr.addr(4 downto 0) = csr_mcountinhibit_c(4 downto 0)) then
2136
              csr.mcountinhibit_cy  <= csr.wdata(0); -- enable auto-increment of [m]cycle[h] counter
2137
              csr.mcountinhibit_ir  <= csr.wdata(2); -- enable auto-increment of [m]instret[h] counter
2138 63 zero_gravi
              if (HPM_NUM_CNTS > 0) then -- any HPMs available?
2139
                csr.mcountinhibit_hpm <= csr.wdata(csr.mcountinhibit_hpm'left+3 downto 3); -- enable auto-increment of [m]hpmcounter*[h] counter
2140
              end if;
2141 56 zero_gravi
            end if;
2142 62 zero_gravi
            -- machine performance-monitors event selector --
2143 52 zero_gravi
            if (HPM_NUM_CNTS > 0) then
2144
              for i in 0 to HPM_NUM_CNTS-1 loop
2145
                if (csr.addr(4 downto 0) = std_ulogic_vector(to_unsigned(i+3, 5))) then
2146
                  csr.mhpmevent(i) <= csr.wdata(csr.mhpmevent(i)'left downto 0);
2147
                end if;
2148 56 zero_gravi
                csr.mhpmevent(i)(hpmcnt_event_never_c) <= '0'; -- would be used for "TIME"
2149 52 zero_gravi
              end loop; -- i (CSRs)
2150
            end if;
2151
          end if;
2152 42 zero_gravi
 
2153 59 zero_gravi
          -- debug mode CSRs --
2154
          -- --------------------------------------------------------------------
2155
          if (CPU_EXTENSION_RISCV_DEBUG = true) then
2156
            if (csr.addr(11 downto 2) = csr_class_debug_c) then -- debug CSR class
2157
              -- R/W: dcsr - debug mode control and status register --
2158
              if (csr.addr(1 downto 0) = csr_dcsr_c(1 downto 0)) then
2159
                csr.dcsr_ebreakm <= csr.wdata(15);
2160
                csr.dcsr_step    <= csr.wdata(2);
2161
                if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
2162
                  csr.dcsr_ebreaku <= csr.wdata(12);
2163
                  csr.dcsr_prv(0)  <= csr.wdata(1) or csr.wdata(0);
2164
                  csr.dcsr_prv(1)  <= csr.wdata(1) or csr.wdata(0);
2165
                else -- only machine mode is available
2166
                  csr.dcsr_prv <= priv_mode_m_c;
2167
                end if;
2168
              end if;
2169
              -- R/W: dpc - debug mode program counter --
2170
              if (csr.addr(1 downto 0) = csr_dpc_c(1 downto 0)) then
2171
                csr.dpc <= csr.wdata;
2172
              end if;
2173
              -- R/W: dscratch0 - debug mode scratch register 0 --
2174
              if (csr.addr(1 downto 0) = csr_dscratch0_c(1 downto 0)) then
2175
                csr.dscratch0 <= csr.wdata;
2176
              end if;
2177
            end if;
2178
          end if;
2179 29 zero_gravi
 
2180 59 zero_gravi
 
2181 36 zero_gravi
        -- --------------------------------------------------------------------------------
2182
        -- CSR access by hardware
2183
        -- --------------------------------------------------------------------------------
2184
        else
2185
 
2186 52 zero_gravi
          -- floating-point (FPU) exception flags --
2187
          -- --------------------------------------------------------------------
2188 55 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) then
2189 52 zero_gravi
            csr.fflags <= csr.fflags or fpu_flags_i; -- accumulate flags ("accrued exception flags")
2190
          end if;
2191
 
2192 59 zero_gravi
          -- mcause, mepc, mtval: write machine trap cause, PC and trap value register --
2193 36 zero_gravi
          -- --------------------------------------------------------------------
2194
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
2195 59 zero_gravi
            if (CPU_EXTENSION_RISCV_DEBUG = false) or ((trap_ctrl.cause(5) = '0') and -- update mtval/mepc/mcause only when NOT ENTRY debug mode exception
2196
                                                       (debug_ctrl.running = '0')) then -- and NOT IN debug mode
2197
 
2198
              -- trap cause ID code --
2199
              csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception
2200
              csr.mcause(4 downto 0)      <= trap_ctrl.cause(4 downto 0); -- identifier
2201
 
2202
              -- trap PC --
2203
              if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS (async source)
2204
                csr.mepc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
2205
              else -- for sync. EXCEPTIONS (sync source)
2206
                csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
2207
              end if;
2208
 
2209
              -- trap value --
2210
              case trap_ctrl.cause is
2211
                when trap_ima_c | trap_iba_c => -- misaligned instruction address OR instruction access error
2212
                  csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
2213
                when trap_brk_c => -- breakpoint
2214
                  csr.mtval <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- address of breakpoint instruction
2215
                when trap_lma_c | trap_lbe_c | trap_sma_c | trap_sbe_c => -- misaligned load/store address OR load/store access error
2216
                  csr.mtval <= mar_i; -- faulting data access address
2217
                when trap_iil_c => -- illegal instruction
2218
                  csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself
2219
                when others => -- everything else including all interrupts
2220
                  csr.mtval <= (others => '0');
2221
              end case;
2222
 
2223 40 zero_gravi
            end if;
2224 59 zero_gravi
 
2225 61 zero_gravi
            -- DEBUG MODE (trap) enter: write dpc and dcsr --
2226 59 zero_gravi
            -- --------------------------------------------------------------------
2227
            if (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.cause(5) = '1') and (debug_ctrl.running = '0') then -- debug mode entry exception
2228
 
2229
              -- trap cause ID code --
2230
              csr.dcsr_cause <= trap_ctrl.cause(2 downto 0); -- why did we enter debug mode?
2231
              -- current privilege mode when debug mode was entered --
2232
              csr.dcsr_prv <= csr.privilege;
2233
 
2234
              -- trap PC --
2235
              if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS (async source)
2236
                csr.dpc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
2237
              else -- for sync. EXCEPTIONS (sync source)
2238
                csr.dpc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
2239
              end if;
2240
 
2241
            end if;
2242
 
2243 2 zero_gravi
          end if;
2244
 
2245 36 zero_gravi
          -- mstatus: context switch --
2246
          -- --------------------------------------------------------------------
2247 59 zero_gravi
          -- ENTER: trap handling starting?
2248
          if (trap_ctrl.env_start_ack = '1') then
2249
            if (CPU_EXTENSION_RISCV_DEBUG = false) or -- normal trapping (debug mode NOT implemented)
2250
               ((debug_ctrl.running = '0') and (trap_ctrl.cause(5) = '0')) then -- not IN debug mode and not ENTERING debug mode
2251
              csr.mstatus_mie  <= '0'; -- disable interrupts
2252
              csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
2253
              if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2254
                csr.privilege   <= priv_mode_m_c; -- execute trap in machine mode
2255
                csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode
2256
              end if;
2257 2 zero_gravi
            end if;
2258 59 zero_gravi
 
2259
          -- EXIT: return from exception
2260
          elsif (trap_ctrl.env_end = '1') then
2261
            if (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1') then -- return from debug mode
2262
              if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2263
                csr.privilege <= csr.dcsr_prv;
2264
              end if;
2265
            else -- return from "normal trap"
2266
              csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
2267
              csr.mstatus_mpie <= '1';
2268
              if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2269
                csr.privilege   <= csr.mstatus_mpp; -- go back to previous privilege mode
2270 60 zero_gravi
                csr.mstatus_mpp <= (others => '0');
2271 59 zero_gravi
              end if;
2272 30 zero_gravi
            end if;
2273 2 zero_gravi
          end if;
2274 59 zero_gravi
 
2275 52 zero_gravi
        end if; -- /hardware csr access
2276
      end if;
2277 29 zero_gravi
 
2278 52 zero_gravi
      -- --------------------------------------------------------------------------------
2279
      -- override write access for disabled functions
2280
      -- --------------------------------------------------------------------------------
2281
 
2282
      -- user mode disabled --
2283
      if (CPU_EXTENSION_RISCV_U = false) then
2284 61 zero_gravi
        csr.privilege     <= priv_mode_m_c;
2285
        csr.mstatus_mpp   <= priv_mode_m_c;
2286 62 zero_gravi
        csr.mstatus_tw    <= '0';
2287 61 zero_gravi
        csr.mcounteren_cy <= '0';
2288
        csr.mcounteren_tm <= '0';
2289
        csr.mcounteren_ir <= '0';
2290
        csr.dcsr_ebreaku  <= '0';
2291
        csr.dcsr_prv      <= priv_mode_m_c;
2292 34 zero_gravi
      end if;
2293 52 zero_gravi
 
2294
      -- pmp disabled --
2295
      if (PMP_NUM_REGIONS = 0) then
2296
        csr.pmpcfg  <= (others => (others => '0'));
2297
        csr.pmpaddr <= (others => (others => '1'));
2298
      end if;
2299
 
2300
      -- hpms disabled --
2301
      if (HPM_NUM_CNTS = 0) then
2302
        csr.mhpmevent         <= (others => (others => '0'));
2303
        csr.mcountinhibit_hpm <= (others => '0');
2304
      end if;
2305
 
2306 56 zero_gravi
      -- cpu counters disabled --
2307
      if (CPU_CNT_WIDTH = 0) then
2308
        csr.mcounteren_cy    <= '0';
2309
        csr.mcounteren_ir    <= '0';
2310
        csr.mcountinhibit_cy <= '0';
2311
        csr.mcountinhibit_ir <= '0';
2312
      end if;
2313
 
2314 52 zero_gravi
      -- floating-point extension disabled --
2315 53 zero_gravi
      if (CPU_EXTENSION_RISCV_Zfinx = false) then
2316 63 zero_gravi
        csr.fflags <= (others => '0');
2317
        csr.frm    <= (others => '0');
2318 52 zero_gravi
      end if;
2319
 
2320 59 zero_gravi
      -- debug mode disabled --
2321
      if (CPU_EXTENSION_RISCV_DEBUG = false) then
2322
        csr.dcsr_ebreakm <= '0';
2323
        csr.dcsr_ebreaku <= '0';
2324
        csr.dcsr_step    <= '0';
2325
        csr.dcsr_cause   <= (others => '0');
2326
        csr.dpc          <= (others => '0');
2327
        csr.dscratch0    <= (others => '0');
2328
      end if;
2329
 
2330 2 zero_gravi
    end if;
2331
  end process csr_write_access;
2332
 
2333 56 zero_gravi
  -- decode current privilege mode --
2334 61 zero_gravi
  csr.privilege_rd <= priv_mode_m_c when (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1') else csr.privilege; -- effective privilege mode ("machine" when in debug mode)
2335 59 zero_gravi
  csr.priv_m_mode  <= '1' when (csr.privilege_rd = priv_mode_m_c) else '0';
2336
  csr.priv_u_mode  <= '1' when (csr.privilege_rd = priv_mode_u_c) and (CPU_EXTENSION_RISCV_U = true) else '0';
2337 40 zero_gravi
 
2338 36 zero_gravi
  -- PMP configuration output to bus unit --
2339 34 zero_gravi
  pmp_output: process(csr)
2340
  begin
2341
    pmp_addr_o <= (others => (others => '0'));
2342
    pmp_ctrl_o <= (others => (others => '0'));
2343 56 zero_gravi
    if (PMP_NUM_REGIONS /= 0) then
2344
      for i in 0 to PMP_NUM_REGIONS-1 loop
2345
        pmp_addr_o(i) <= csr.pmpaddr(i) & "11";
2346
        pmp_addr_o(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
2347
        pmp_ctrl_o(i) <= csr.pmpcfg(i);
2348
      end loop; -- i
2349
    end if;
2350 42 zero_gravi
  end process pmp_output;
2351
 
2352 58 zero_gravi
  -- PMP config read dummy --
2353 42 zero_gravi
  pmp_rd_dummy: process(csr)
2354
  begin
2355
    csr.pmpcfg_rd  <= (others => (others => '0'));
2356 56 zero_gravi
    if (PMP_NUM_REGIONS /= 0) then
2357
      for i in 0 to PMP_NUM_REGIONS-1 loop
2358
        csr.pmpcfg_rd(i)  <= csr.pmpcfg(i);
2359
      end loop; -- i
2360
    end if;
2361 42 zero_gravi
  end process pmp_rd_dummy;
2362
 
2363
 
2364
  -- Control and Status Registers - Counters ------------------------------------------------
2365
  -- -------------------------------------------------------------------------------------------
2366 56 zero_gravi
  csr_counters: process(rstn_i, clk_i)
2367 42 zero_gravi
  begin
2368 56 zero_gravi
    -- Counter CSRs (each counter is split into two 32-bit counters - coupled via an MSB overflow detector)
2369
    if (rstn_i = '0') then
2370 61 zero_gravi
      csr.mcycle           <= (others => def_rst_val_c);
2371
      csr.mcycle_ovfl      <= (others => def_rst_val_c);
2372
      csr.mcycleh          <= (others => def_rst_val_c);
2373
      csr.minstret         <= (others => def_rst_val_c);
2374
      csr.minstret_ovfl    <= (others => def_rst_val_c);
2375
      csr.minstreth        <= (others => def_rst_val_c);
2376
      csr.mhpmcounter      <= (others => (others => def_rst_val_c));
2377
      csr.mhpmcounter_ovfl <= (others => (others => def_rst_val_c));
2378
      csr.mhpmcounterh     <= (others => (others => def_rst_val_c));
2379 56 zero_gravi
    elsif rising_edge(clk_i) then
2380 42 zero_gravi
 
2381
      -- [m]cycle --
2382 60 zero_gravi
      if (cpu_cnt_lo_width_c > 0) then
2383 61 zero_gravi
        csr.mcycle_ovfl(0) <= csr.mcycle_nxt(csr.mcycle_nxt'left);
2384 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_mcycle_c) then -- write access
2385 61 zero_gravi
          csr.mcycle(cpu_cnt_lo_width_c-1 downto 0) <= csr.wdata(cpu_cnt_lo_width_c-1 downto 0);
2386 60 zero_gravi
        elsif (csr.mcountinhibit_cy = '0') and (cnt_event(hpmcnt_event_cy_c) = '1') then -- non-inhibited automatic update
2387 61 zero_gravi
          csr.mcycle(cpu_cnt_lo_width_c-1 downto 0) <= csr.mcycle_nxt(cpu_cnt_lo_width_c-1 downto 0);
2388 60 zero_gravi
        end if;
2389
      else
2390 61 zero_gravi
        csr.mcycle <= (others => '-');
2391
        csr.mcycle_ovfl(0) <= '-';
2392 42 zero_gravi
      end if;
2393
 
2394
      -- [m]cycleh --
2395 60 zero_gravi
      if (cpu_cnt_hi_width_c > 0) then
2396
        if (csr.we = '1') and (csr.addr = csr_mcycleh_c) then -- write access
2397
          csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0) <= csr.wdata(cpu_cnt_hi_width_c-1 downto 0);
2398 61 zero_gravi
        elsif (csr.mcountinhibit_cy = '0') and (cnt_event(hpmcnt_event_cy_c) = '1') then -- non-inhibited automatic update
2399
          csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0)) + unsigned(csr.mcycle_ovfl));
2400 60 zero_gravi
        end if;
2401
      else
2402
        csr.mcycleh <= (others => '-');
2403 42 zero_gravi
      end if;
2404
 
2405 60 zero_gravi
 
2406 42 zero_gravi
      -- [m]instret --
2407 60 zero_gravi
      if (cpu_cnt_lo_width_c > 0) then
2408 61 zero_gravi
        csr.minstret_ovfl(0) <= csr.minstret_nxt(csr.minstret_nxt'left);
2409 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_minstret_c) then -- write access
2410 61 zero_gravi
          csr.minstret(cpu_cnt_lo_width_c-1 downto 0) <= csr.wdata(cpu_cnt_lo_width_c-1 downto 0);
2411 60 zero_gravi
        elsif (csr.mcountinhibit_ir = '0') and (cnt_event(hpmcnt_event_ir_c) = '1') then -- non-inhibited automatic update
2412 61 zero_gravi
          csr.minstret(cpu_cnt_lo_width_c-1 downto 0) <= csr.minstret_nxt(cpu_cnt_lo_width_c-1 downto 0);
2413 60 zero_gravi
        end if;
2414
      else
2415 61 zero_gravi
        csr.minstret <= (others => '-');
2416
        csr.minstret_ovfl(0) <= '-';
2417 42 zero_gravi
      end if;
2418
 
2419
      -- [m]instreth --
2420 60 zero_gravi
      if (cpu_cnt_hi_width_c > 0) then
2421
        if (csr.we = '1') and (csr.addr = csr_minstreth_c) then -- write access
2422
          csr.minstreth(cpu_cnt_hi_width_c-1 downto 0) <= csr.wdata(cpu_cnt_hi_width_c-1 downto 0);
2423 61 zero_gravi
        elsif (csr.mcountinhibit_ir = '0') and (cnt_event(hpmcnt_event_ir_c) = '1') then -- non-inhibited automatic update
2424
          csr.minstreth(cpu_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.minstreth(cpu_cnt_hi_width_c-1 downto 0)) + unsigned(csr.minstret_ovfl));
2425 60 zero_gravi
        end if;
2426
      else
2427
        csr.minstreth <= (others => '-');
2428 42 zero_gravi
      end if;
2429
 
2430 60 zero_gravi
 
2431 45 zero_gravi
      -- [machine] hardware performance monitors (counters) --
2432 42 zero_gravi
      for i in 0 to HPM_NUM_CNTS-1 loop
2433 60 zero_gravi
 
2434
        -- [m]hpmcounter* --
2435
        if (hpm_cnt_lo_width_c > 0) then
2436 61 zero_gravi
          csr.mhpmcounter_ovfl(i)(0) <= csr.mhpmcounter_nxt(i)(csr.mhpmcounter_nxt(i)'left);
2437 56 zero_gravi
          if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3_c) + i)) then -- write access
2438 61 zero_gravi
            csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.wdata(hpm_cnt_lo_width_c-1 downto 0);
2439 56 zero_gravi
          elsif (csr.mcountinhibit_hpm(i) = '0') and (hpmcnt_trigger(i) = '1') then -- non-inhibited automatic update
2440 61 zero_gravi
            csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.mhpmcounter_nxt(i)(hpm_cnt_lo_width_c-1 downto 0);
2441 56 zero_gravi
          end if;
2442 60 zero_gravi
        else
2443 61 zero_gravi
          csr.mhpmcounter(i) <= (others => '-');
2444
          csr.mhpmcounter_ovfl(i)(0) <= '-';
2445 42 zero_gravi
        end if;
2446
 
2447
        -- [m]hpmcounter*h --
2448 60 zero_gravi
        if (hpm_cnt_hi_width_c > 0) then
2449 56 zero_gravi
          if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3h_c) + i)) then -- write access
2450
            csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0) <= csr.wdata(hpm_cnt_hi_width_c-1 downto 0);
2451 61 zero_gravi
          elsif (csr.mcountinhibit_hpm(i) = '0') and (hpmcnt_trigger(i) = '1') then -- non-inhibited automatic update
2452
            csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0)) + unsigned(csr.mhpmcounter_ovfl(i)));
2453 56 zero_gravi
          end if;
2454 60 zero_gravi
        else
2455
          csr.mhpmcounterh(i) <= (others => '-');
2456 42 zero_gravi
        end if;
2457 60 zero_gravi
 
2458 34 zero_gravi
      end loop; -- i
2459 42 zero_gravi
 
2460 34 zero_gravi
    end if;
2461 42 zero_gravi
  end process csr_counters;
2462 34 zero_gravi
 
2463 60 zero_gravi
 
2464 61 zero_gravi
  -- mcycle & minstret increment LOW --
2465
  csr.mcycle_nxt   <= std_ulogic_vector(unsigned('0' & csr.mcycle) + 1);
2466
  csr.minstret_nxt <= std_ulogic_vector(unsigned('0' & csr.minstret) + 1);
2467
 
2468
  -- hpm counter increment LOW --
2469
  hmp_cnt_lo_inc:
2470
  for i in 0 to HPM_NUM_CNTS-1 generate
2471
    csr.mhpmcounter_nxt(i) <= std_ulogic_vector(unsigned('0' & csr.mhpmcounter(i)) + 1);
2472
  end generate;
2473
 
2474
 
2475
  -- hpm counter read --
2476 42 zero_gravi
  hpm_rd_dummy: process(csr)
2477
  begin
2478
    csr.mhpmcounter_rd  <= (others => (others => '0'));
2479
    csr.mhpmcounterh_rd <= (others => (others => '0'));
2480 56 zero_gravi
    if (HPM_NUM_CNTS /= 0) then
2481
      for i in 0 to HPM_NUM_CNTS-1 loop
2482
        if (hpm_cnt_lo_width_c > 0) then
2483 59 zero_gravi
          csr.mhpmcounter_rd(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0);
2484 56 zero_gravi
        end if;
2485
        if (hpm_cnt_hi_width_c > 0) then
2486
          csr.mhpmcounterh_rd(i)(hpm_cnt_hi_width_c-1 downto 0) <= csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0);
2487
        end if;
2488
      end loop; -- i
2489
    end if;
2490 42 zero_gravi
  end process hpm_rd_dummy;
2491 34 zero_gravi
 
2492 42 zero_gravi
 
2493 56 zero_gravi
  -- Hardware Performance Monitor - Counter Event Control -----------------------------------
2494 42 zero_gravi
  -- -------------------------------------------------------------------------------------------
2495 56 zero_gravi
  hpmcnt_ctrl: process(rstn_i, clk_i)
2496 42 zero_gravi
  begin
2497 56 zero_gravi
    if (rstn_i = '0') then
2498
      cnt_event      <= (others => def_rst_val_c);
2499
      hpmcnt_trigger <= (others => def_rst_val_c);
2500
    elsif rising_edge(clk_i) then
2501 47 zero_gravi
      -- buffer event sources --
2502
      cnt_event <= cnt_event_nxt;
2503
      -- enable selected triggers by ANDing actual events and according CSR configuration bits --
2504
      -- OR everything to see if counter should increment --
2505 42 zero_gravi
      hpmcnt_trigger <= (others => '0'); -- default
2506 56 zero_gravi
      if (HPM_NUM_CNTS /= 0) then
2507
        for i in 0 to HPM_NUM_CNTS-1 loop
2508 60 zero_gravi
          hpmcnt_trigger(i) <= or_reduce_f(cnt_event and csr.mhpmevent(i)(cnt_event'left downto 0));
2509 56 zero_gravi
        end loop; -- i
2510
      end if;
2511 42 zero_gravi
    end if;
2512
  end process hpmcnt_ctrl;
2513
 
2514 56 zero_gravi
  -- counter event trigger - RISC-V-specific --
2515
  cnt_event_nxt(hpmcnt_event_cy_c)      <= not execute_engine.sleep; -- active cycle
2516
  cnt_event_nxt(hpmcnt_event_never_c)   <= '0'; -- undefined (never)
2517
  cnt_event_nxt(hpmcnt_event_ir_c)      <= '1' when (execute_engine.state = EXECUTE) else '0'; -- retired instruction
2518 42 zero_gravi
 
2519
  -- counter event trigger - custom / NEORV32-specific --
2520 47 zero_gravi
  cnt_event_nxt(hpmcnt_event_cir_c)     <= '1' when (execute_engine.state = EXECUTE)      and (execute_engine.is_ci = '1')             else '0'; -- retired compressed instruction
2521
  cnt_event_nxt(hpmcnt_event_wait_if_c) <= '1' when (fetch_engine.state   = IFETCH_ISSUE) and (fetch_engine.state_prev = IFETCH_ISSUE) else '0'; -- instruction fetch memory wait cycle
2522
  cnt_event_nxt(hpmcnt_event_wait_ii_c) <= '1' when (execute_engine.state = DISPATCH)     and (execute_engine.state_prev = DISPATCH)   else '0'; -- instruction issue wait cycle
2523
  cnt_event_nxt(hpmcnt_event_wait_mc_c) <= '1' when (execute_engine.state = ALU_WAIT)     and (execute_engine.state_prev = ALU_WAIT)   else '0'; -- multi-cycle alu-operation wait cycle
2524 42 zero_gravi
 
2525
  cnt_event_nxt(hpmcnt_event_load_c)    <= '1' when (execute_engine.state = LOADSTORE_1) and (ctrl(ctrl_bus_rd_c) = '1')               else '0'; -- load operation
2526
  cnt_event_nxt(hpmcnt_event_store_c)   <= '1' when (execute_engine.state = LOADSTORE_1) and (ctrl(ctrl_bus_wr_c) = '1')               else '0'; -- store operation
2527
  cnt_event_nxt(hpmcnt_event_wait_ls_c) <= '1' when (execute_engine.state = LOADSTORE_2) and (execute_engine.state_prev = LOADSTORE_2) else '0'; -- load/store memory wait cycle
2528
 
2529
  cnt_event_nxt(hpmcnt_event_jump_c)    <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') else '0'; -- jump (unconditional)
2530
  cnt_event_nxt(hpmcnt_event_branch_c)  <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') else '0'; -- branch (conditional, taken or not taken)
2531
  cnt_event_nxt(hpmcnt_event_tbranch_c) <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') and (execute_engine.branch_taken = '1') else '0'; -- taken branch (conditional)
2532
 
2533
  cnt_event_nxt(hpmcnt_event_trap_c)    <= '1' when (trap_ctrl.env_start_ack = '1')                                    else '0'; -- entered trap
2534
  cnt_event_nxt(hpmcnt_event_illegal_c) <= '1' when (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause = trap_iil_c) else '0'; -- illegal operation
2535
 
2536
 
2537 52 zero_gravi
  -- Control and Status Registers - Read Access ---------------------------------------------
2538 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2539 56 zero_gravi
  csr_read_access: process(rstn_i, clk_i)
2540 2 zero_gravi
  begin
2541 61 zero_gravi
    if rising_edge(clk_i) then
2542 29 zero_gravi
      csr.re    <= csr.re_nxt; -- read access?
2543 35 zero_gravi
      csr.rdata <= (others => '0'); -- default output
2544 11 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) and (csr.re = '1') then
2545 41 zero_gravi
        case csr.addr is
2546 11 zero_gravi
 
2547 58 zero_gravi
          -- floating-point CSRs --
2548 52 zero_gravi
          -- --------------------------------------------------------------------
2549 59 zero_gravi
          when csr_fflags_c => -- fflags (r/w): floating-point (FPU) exception flags
2550
            if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(4 downto 0) <= csr.fflags; else NULL; end if;
2551
          when csr_frm_c => -- frm (r/w): floating-point (FPU) rounding mode
2552
            if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(2 downto 0) <= csr.frm; else NULL; end if;
2553
          when csr_fcsr_c => -- fcsr (r/w): floating-point (FPU) control/status (frm + fflags)
2554
            if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(7 downto 5) <= csr.frm; csr.rdata(4 downto 0) <= csr.fflags; else NULL; end if;
2555 52 zero_gravi
 
2556 11 zero_gravi
          -- machine trap setup --
2557 59 zero_gravi
          -- --------------------------------------------------------------------
2558
          when csr_mstatus_c => -- mstatus (r/w): machine status register
2559 41 zero_gravi
            csr.rdata(03) <= csr.mstatus_mie; -- MIE
2560 27 zero_gravi
            csr.rdata(07) <= csr.mstatus_mpie; -- MPIE
2561 29 zero_gravi
            csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low
2562
            csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high
2563 62 zero_gravi
            csr.rdata(21) <= csr.mstatus_tw; -- TW: WFI timeout wait
2564 59 zero_gravi
          when csr_misa_c => -- misa (r/-): ISA and extensions
2565 39 zero_gravi
            csr.rdata(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A);     -- A CPU extension
2566 27 zero_gravi
            csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
2567
            csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
2568
            csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
2569
            csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
2570
            csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
2571
            csr.rdata(23) <= '1';                                         -- X CPU extension (non-std extensions)
2572
            csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo)
2573
            csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi)
2574 59 zero_gravi
          when csr_mie_c => -- mie (r/w): machine interrupt-enable register
2575 27 zero_gravi
            csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable
2576
            csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable
2577
            csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable
2578 48 zero_gravi
            for i in 0 to 15 loop -- fast interrupt channels 0..15 enable
2579
              csr.rdata(16+i) <= csr.mie_firqe(i);
2580
            end loop; -- i
2581 59 zero_gravi
          when csr_mtvec_c => -- mtvec (r/w): machine trap-handler base address (for ALL exceptions)
2582 27 zero_gravi
            csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
2583 59 zero_gravi
          when csr_mcounteren_c => -- mcounteren (r/w): machine counter enable register
2584 58 zero_gravi
            if (CPU_EXTENSION_RISCV_U = false) then -- this CSR is hardwired to zero if user mode is not implemented
2585
              NULL;
2586
            else
2587 51 zero_gravi
              csr.rdata(0) <= csr.mcounteren_cy; -- enable user-level access to cycle[h]
2588
              csr.rdata(1) <= csr.mcounteren_tm; -- enable user-level access to time[h]
2589
              csr.rdata(2) <= csr.mcounteren_ir; -- enable user-level access to instret[h]
2590
            end if;
2591 11 zero_gravi
 
2592
          -- machine trap handling --
2593 59 zero_gravi
          -- --------------------------------------------------------------------
2594
          when csr_mscratch_c => -- mscratch (r/w): machine scratch register
2595 27 zero_gravi
            csr.rdata <= csr.mscratch;
2596 59 zero_gravi
          when csr_mepc_c => -- mepc (r/w): machine exception program counter
2597 27 zero_gravi
            csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0';
2598 59 zero_gravi
          when csr_mcause_c => -- mcause (r/w): machine trap cause
2599 49 zero_gravi
            csr.rdata(31) <= csr.mcause(csr.mcause'left);
2600
            csr.rdata(csr.mcause'left-1 downto 0) <= csr.mcause(csr.mcause'left-1 downto 0);
2601 62 zero_gravi
          when csr_mtval_c => -- mtval (r/-): machine bad address or instruction
2602 27 zero_gravi
            csr.rdata <= csr.mtval;
2603 59 zero_gravi
          when csr_mip_c => -- mip (r/-): machine interrupt pending
2604 58 zero_gravi
            csr.rdata(03) <= trap_ctrl.irq_buf(interrupt_msw_irq_c);
2605
            csr.rdata(07) <= trap_ctrl.irq_buf(interrupt_mtime_irq_c);
2606
            csr.rdata(11) <= trap_ctrl.irq_buf(interrupt_mext_irq_c);
2607 48 zero_gravi
            for i in 0 to 15 loop -- fast interrupt channels 0..15 pending
2608 58 zero_gravi
              csr.rdata(16+i) <= trap_ctrl.irq_buf(interrupt_firq_0_c+i);
2609 48 zero_gravi
            end loop; -- i
2610 11 zero_gravi
 
2611 63 zero_gravi
          -- physical memory protection - configuration (r/w) --
2612 59 zero_gravi
          -- --------------------------------------------------------------------
2613 63 zero_gravi
          when csr_pmpcfg0_c  => if (PMP_NUM_REGIONS > 00) then csr.rdata <= csr.pmpcfg_rd(03) & csr.pmpcfg_rd(02) & csr.pmpcfg_rd(01) & csr.pmpcfg_rd(00); else NULL; end if;
2614
          when csr_pmpcfg1_c  => if (PMP_NUM_REGIONS > 03) then csr.rdata <= csr.pmpcfg_rd(07) & csr.pmpcfg_rd(06) & csr.pmpcfg_rd(05) & csr.pmpcfg_rd(04); else NULL; end if;
2615
          when csr_pmpcfg2_c  => if (PMP_NUM_REGIONS > 07) then csr.rdata <= csr.pmpcfg_rd(11) & csr.pmpcfg_rd(10) & csr.pmpcfg_rd(09) & csr.pmpcfg_rd(08); else NULL; end if;
2616
          when csr_pmpcfg3_c  => if (PMP_NUM_REGIONS > 11) then csr.rdata <= csr.pmpcfg_rd(15) & csr.pmpcfg_rd(14) & csr.pmpcfg_rd(13) & csr.pmpcfg_rd(12); else NULL; end if;
2617
          when csr_pmpcfg4_c  => if (PMP_NUM_REGIONS > 15) then csr.rdata <= csr.pmpcfg_rd(19) & csr.pmpcfg_rd(18) & csr.pmpcfg_rd(17) & csr.pmpcfg_rd(16); else NULL; end if;
2618
          when csr_pmpcfg5_c  => if (PMP_NUM_REGIONS > 19) then csr.rdata <= csr.pmpcfg_rd(23) & csr.pmpcfg_rd(22) & csr.pmpcfg_rd(21) & csr.pmpcfg_rd(20); else NULL; end if;
2619
          when csr_pmpcfg6_c  => if (PMP_NUM_REGIONS > 23) then csr.rdata <= csr.pmpcfg_rd(27) & csr.pmpcfg_rd(26) & csr.pmpcfg_rd(25) & csr.pmpcfg_rd(24); else NULL; end if;
2620
          when csr_pmpcfg7_c  => if (PMP_NUM_REGIONS > 27) then csr.rdata <= csr.pmpcfg_rd(31) & csr.pmpcfg_rd(30) & csr.pmpcfg_rd(29) & csr.pmpcfg_rd(28); else NULL; end if;
2621
          when csr_pmpcfg8_c  => if (PMP_NUM_REGIONS > 31) then csr.rdata <= csr.pmpcfg_rd(35) & csr.pmpcfg_rd(34) & csr.pmpcfg_rd(33) & csr.pmpcfg_rd(32); else NULL; end if;
2622
          when csr_pmpcfg9_c  => if (PMP_NUM_REGIONS > 35) then csr.rdata <= csr.pmpcfg_rd(39) & csr.pmpcfg_rd(38) & csr.pmpcfg_rd(37) & csr.pmpcfg_rd(36); else NULL; end if;
2623
          when csr_pmpcfg10_c => if (PMP_NUM_REGIONS > 39) then csr.rdata <= csr.pmpcfg_rd(43) & csr.pmpcfg_rd(42) & csr.pmpcfg_rd(41) & csr.pmpcfg_rd(40); else NULL; end if;
2624
          when csr_pmpcfg11_c => if (PMP_NUM_REGIONS > 43) then csr.rdata <= csr.pmpcfg_rd(47) & csr.pmpcfg_rd(46) & csr.pmpcfg_rd(45) & csr.pmpcfg_rd(44); else NULL; end if;
2625
          when csr_pmpcfg12_c => if (PMP_NUM_REGIONS > 47) then csr.rdata <= csr.pmpcfg_rd(51) & csr.pmpcfg_rd(50) & csr.pmpcfg_rd(49) & csr.pmpcfg_rd(48); else NULL; end if;
2626
          when csr_pmpcfg13_c => if (PMP_NUM_REGIONS > 51) then csr.rdata <= csr.pmpcfg_rd(55) & csr.pmpcfg_rd(54) & csr.pmpcfg_rd(53) & csr.pmpcfg_rd(52); else NULL; end if;
2627
          when csr_pmpcfg14_c => if (PMP_NUM_REGIONS > 55) then csr.rdata <= csr.pmpcfg_rd(59) & csr.pmpcfg_rd(58) & csr.pmpcfg_rd(57) & csr.pmpcfg_rd(56); else NULL; end if;
2628
          when csr_pmpcfg15_c => if (PMP_NUM_REGIONS > 59) then csr.rdata <= csr.pmpcfg_rd(63) & csr.pmpcfg_rd(62) & csr.pmpcfg_rd(61) & csr.pmpcfg_rd(60); else NULL; end if;
2629 15 zero_gravi
 
2630 63 zero_gravi
          -- physical memory protection - addresses (r/w) --
2631 59 zero_gravi
          -- --------------------------------------------------------------------
2632 63 zero_gravi
          when csr_pmpaddr0_c  => if (PMP_NUM_REGIONS > 00) then csr.rdata <= csr.pmpaddr(00); else NULL; end if;
2633
          when csr_pmpaddr1_c  => if (PMP_NUM_REGIONS > 01) then csr.rdata <= csr.pmpaddr(01); else NULL; end if;
2634
          when csr_pmpaddr2_c  => if (PMP_NUM_REGIONS > 02) then csr.rdata <= csr.pmpaddr(02); else NULL; end if;
2635
          when csr_pmpaddr3_c  => if (PMP_NUM_REGIONS > 03) then csr.rdata <= csr.pmpaddr(03); else NULL; end if;
2636
          when csr_pmpaddr4_c  => if (PMP_NUM_REGIONS > 04) then csr.rdata <= csr.pmpaddr(04); else NULL; end if;
2637
          when csr_pmpaddr5_c  => if (PMP_NUM_REGIONS > 05) then csr.rdata <= csr.pmpaddr(05); else NULL; end if;
2638
          when csr_pmpaddr6_c  => if (PMP_NUM_REGIONS > 06) then csr.rdata <= csr.pmpaddr(06); else NULL; end if;
2639
          when csr_pmpaddr7_c  => if (PMP_NUM_REGIONS > 07) then csr.rdata <= csr.pmpaddr(07); else NULL; end if;
2640
          when csr_pmpaddr8_c  => if (PMP_NUM_REGIONS > 08) then csr.rdata <= csr.pmpaddr(08); else NULL; end if;
2641
          when csr_pmpaddr9_c  => if (PMP_NUM_REGIONS > 09) then csr.rdata <= csr.pmpaddr(09); else NULL; end if;
2642
          when csr_pmpaddr10_c => if (PMP_NUM_REGIONS > 10) then csr.rdata <= csr.pmpaddr(10); else NULL; end if;
2643
          when csr_pmpaddr11_c => if (PMP_NUM_REGIONS > 11) then csr.rdata <= csr.pmpaddr(11); else NULL; end if;
2644
          when csr_pmpaddr12_c => if (PMP_NUM_REGIONS > 12) then csr.rdata <= csr.pmpaddr(12); else NULL; end if;
2645
          when csr_pmpaddr13_c => if (PMP_NUM_REGIONS > 13) then csr.rdata <= csr.pmpaddr(13); else NULL; end if;
2646
          when csr_pmpaddr14_c => if (PMP_NUM_REGIONS > 14) then csr.rdata <= csr.pmpaddr(14); else NULL; end if;
2647
          when csr_pmpaddr15_c => if (PMP_NUM_REGIONS > 15) then csr.rdata <= csr.pmpaddr(15); else NULL; end if;
2648
          when csr_pmpaddr16_c => if (PMP_NUM_REGIONS > 16) then csr.rdata <= csr.pmpaddr(16); else NULL; end if;
2649
          when csr_pmpaddr17_c => if (PMP_NUM_REGIONS > 17) then csr.rdata <= csr.pmpaddr(17); else NULL; end if;
2650
          when csr_pmpaddr18_c => if (PMP_NUM_REGIONS > 18) then csr.rdata <= csr.pmpaddr(18); else NULL; end if;
2651
          when csr_pmpaddr19_c => if (PMP_NUM_REGIONS > 19) then csr.rdata <= csr.pmpaddr(19); else NULL; end if;
2652
          when csr_pmpaddr20_c => if (PMP_NUM_REGIONS > 20) then csr.rdata <= csr.pmpaddr(20); else NULL; end if;
2653
          when csr_pmpaddr21_c => if (PMP_NUM_REGIONS > 21) then csr.rdata <= csr.pmpaddr(21); else NULL; end if;
2654
          when csr_pmpaddr22_c => if (PMP_NUM_REGIONS > 22) then csr.rdata <= csr.pmpaddr(22); else NULL; end if;
2655
          when csr_pmpaddr23_c => if (PMP_NUM_REGIONS > 23) then csr.rdata <= csr.pmpaddr(23); else NULL; end if;
2656
          when csr_pmpaddr24_c => if (PMP_NUM_REGIONS > 24) then csr.rdata <= csr.pmpaddr(24); else NULL; end if;
2657
          when csr_pmpaddr25_c => if (PMP_NUM_REGIONS > 25) then csr.rdata <= csr.pmpaddr(25); else NULL; end if;
2658
          when csr_pmpaddr26_c => if (PMP_NUM_REGIONS > 26) then csr.rdata <= csr.pmpaddr(26); else NULL; end if;
2659
          when csr_pmpaddr27_c => if (PMP_NUM_REGIONS > 27) then csr.rdata <= csr.pmpaddr(27); else NULL; end if;
2660
          when csr_pmpaddr28_c => if (PMP_NUM_REGIONS > 28) then csr.rdata <= csr.pmpaddr(28); else NULL; end if;
2661
          when csr_pmpaddr29_c => if (PMP_NUM_REGIONS > 29) then csr.rdata <= csr.pmpaddr(29); else NULL; end if;
2662
          when csr_pmpaddr30_c => if (PMP_NUM_REGIONS > 30) then csr.rdata <= csr.pmpaddr(30); else NULL; end if;
2663
          when csr_pmpaddr31_c => if (PMP_NUM_REGIONS > 31) then csr.rdata <= csr.pmpaddr(31); else NULL; end if;
2664
          when csr_pmpaddr32_c => if (PMP_NUM_REGIONS > 32) then csr.rdata <= csr.pmpaddr(32); else NULL; end if;
2665
          when csr_pmpaddr33_c => if (PMP_NUM_REGIONS > 33) then csr.rdata <= csr.pmpaddr(33); else NULL; end if;
2666
          when csr_pmpaddr34_c => if (PMP_NUM_REGIONS > 34) then csr.rdata <= csr.pmpaddr(34); else NULL; end if;
2667
          when csr_pmpaddr35_c => if (PMP_NUM_REGIONS > 35) then csr.rdata <= csr.pmpaddr(35); else NULL; end if;
2668
          when csr_pmpaddr36_c => if (PMP_NUM_REGIONS > 36) then csr.rdata <= csr.pmpaddr(36); else NULL; end if;
2669
          when csr_pmpaddr37_c => if (PMP_NUM_REGIONS > 37) then csr.rdata <= csr.pmpaddr(37); else NULL; end if;
2670
          when csr_pmpaddr38_c => if (PMP_NUM_REGIONS > 38) then csr.rdata <= csr.pmpaddr(38); else NULL; end if;
2671
          when csr_pmpaddr39_c => if (PMP_NUM_REGIONS > 39) then csr.rdata <= csr.pmpaddr(39); else NULL; end if;
2672
          when csr_pmpaddr40_c => if (PMP_NUM_REGIONS > 40) then csr.rdata <= csr.pmpaddr(40); else NULL; end if;
2673
          when csr_pmpaddr41_c => if (PMP_NUM_REGIONS > 41) then csr.rdata <= csr.pmpaddr(41); else NULL; end if;
2674
          when csr_pmpaddr42_c => if (PMP_NUM_REGIONS > 42) then csr.rdata <= csr.pmpaddr(42); else NULL; end if;
2675
          when csr_pmpaddr43_c => if (PMP_NUM_REGIONS > 43) then csr.rdata <= csr.pmpaddr(43); else NULL; end if;
2676
          when csr_pmpaddr44_c => if (PMP_NUM_REGIONS > 44) then csr.rdata <= csr.pmpaddr(44); else NULL; end if;
2677
          when csr_pmpaddr45_c => if (PMP_NUM_REGIONS > 45) then csr.rdata <= csr.pmpaddr(45); else NULL; end if;
2678
          when csr_pmpaddr46_c => if (PMP_NUM_REGIONS > 46) then csr.rdata <= csr.pmpaddr(46); else NULL; end if;
2679
          when csr_pmpaddr47_c => if (PMP_NUM_REGIONS > 47) then csr.rdata <= csr.pmpaddr(47); else NULL; end if;
2680
          when csr_pmpaddr48_c => if (PMP_NUM_REGIONS > 48) then csr.rdata <= csr.pmpaddr(48); else NULL; end if;
2681
          when csr_pmpaddr49_c => if (PMP_NUM_REGIONS > 49) then csr.rdata <= csr.pmpaddr(49); else NULL; end if;
2682
          when csr_pmpaddr50_c => if (PMP_NUM_REGIONS > 50) then csr.rdata <= csr.pmpaddr(50); else NULL; end if;
2683
          when csr_pmpaddr51_c => if (PMP_NUM_REGIONS > 51) then csr.rdata <= csr.pmpaddr(51); else NULL; end if;
2684
          when csr_pmpaddr52_c => if (PMP_NUM_REGIONS > 52) then csr.rdata <= csr.pmpaddr(52); else NULL; end if;
2685
          when csr_pmpaddr53_c => if (PMP_NUM_REGIONS > 53) then csr.rdata <= csr.pmpaddr(53); else NULL; end if;
2686
          when csr_pmpaddr54_c => if (PMP_NUM_REGIONS > 54) then csr.rdata <= csr.pmpaddr(54); else NULL; end if;
2687
          when csr_pmpaddr55_c => if (PMP_NUM_REGIONS > 55) then csr.rdata <= csr.pmpaddr(55); else NULL; end if;
2688
          when csr_pmpaddr56_c => if (PMP_NUM_REGIONS > 56) then csr.rdata <= csr.pmpaddr(56); else NULL; end if;
2689
          when csr_pmpaddr57_c => if (PMP_NUM_REGIONS > 57) then csr.rdata <= csr.pmpaddr(57); else NULL; end if;
2690
          when csr_pmpaddr58_c => if (PMP_NUM_REGIONS > 58) then csr.rdata <= csr.pmpaddr(58); else NULL; end if;
2691
          when csr_pmpaddr59_c => if (PMP_NUM_REGIONS > 59) then csr.rdata <= csr.pmpaddr(59); else NULL; end if;
2692
          when csr_pmpaddr60_c => if (PMP_NUM_REGIONS > 60) then csr.rdata <= csr.pmpaddr(60); else NULL; end if;
2693
          when csr_pmpaddr61_c => if (PMP_NUM_REGIONS > 61) then csr.rdata <= csr.pmpaddr(61); else NULL; end if;
2694
          when csr_pmpaddr62_c => if (PMP_NUM_REGIONS > 62) then csr.rdata <= csr.pmpaddr(62); else NULL; end if;
2695
          when csr_pmpaddr63_c => if (PMP_NUM_REGIONS > 63) then csr.rdata <= csr.pmpaddr(63); else NULL; end if;
2696 15 zero_gravi
 
2697 41 zero_gravi
          -- machine counter setup --
2698
          -- --------------------------------------------------------------------
2699 59 zero_gravi
          when csr_mcountinhibit_c => -- mcountinhibit (r/w): machine counter-inhibit register
2700 41 zero_gravi
            csr.rdata(0) <= csr.mcountinhibit_cy; -- enable auto-increment of [m]cycle[h] counter
2701
            csr.rdata(2) <= csr.mcountinhibit_ir; -- enable auto-increment of [m]instret[h] counter
2702 63 zero_gravi
            if (HPM_NUM_CNTS > 0) then -- any HPMs available?
2703
              csr.rdata(csr.mcountinhibit_hpm'left+3 downto 3) <= csr.mcountinhibit_hpm; -- enable auto-increment of [m]hpmcounterx[h] counter
2704
            end if;
2705 41 zero_gravi
 
2706 63 zero_gravi
          -- machine performance-monitoring event selector (r/w) --
2707 59 zero_gravi
          -- --------------------------------------------------------------------
2708 63 zero_gravi
          when csr_mhpmevent3_c  => if (HPM_NUM_CNTS > 00) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(00); else NULL; end if;
2709
          when csr_mhpmevent4_c  => if (HPM_NUM_CNTS > 01) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(01); else NULL; end if;
2710
          when csr_mhpmevent5_c  => if (HPM_NUM_CNTS > 02) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(02); else NULL; end if;
2711
          when csr_mhpmevent6_c  => if (HPM_NUM_CNTS > 03) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(03); else NULL; end if;
2712
          when csr_mhpmevent7_c  => if (HPM_NUM_CNTS > 04) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(04); else NULL; end if;
2713
          when csr_mhpmevent8_c  => if (HPM_NUM_CNTS > 05) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(05); else NULL; end if;
2714
          when csr_mhpmevent9_c  => if (HPM_NUM_CNTS > 06) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(06); else NULL; end if;
2715
          when csr_mhpmevent10_c => if (HPM_NUM_CNTS > 07) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(07); else NULL; end if;
2716
          when csr_mhpmevent11_c => if (HPM_NUM_CNTS > 08) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(08); else NULL; end if;
2717
          when csr_mhpmevent12_c => if (HPM_NUM_CNTS > 09) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(09); else NULL; end if;
2718
          when csr_mhpmevent13_c => if (HPM_NUM_CNTS > 10) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(10); else NULL; end if;
2719
          when csr_mhpmevent14_c => if (HPM_NUM_CNTS > 11) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(11); else NULL; end if;
2720
          when csr_mhpmevent15_c => if (HPM_NUM_CNTS > 12) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(12); else NULL; end if;
2721
          when csr_mhpmevent16_c => if (HPM_NUM_CNTS > 13) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(13); else NULL; end if;
2722
          when csr_mhpmevent17_c => if (HPM_NUM_CNTS > 14) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(14); else NULL; end if;
2723
          when csr_mhpmevent18_c => if (HPM_NUM_CNTS > 15) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(15); else NULL; end if;
2724
          when csr_mhpmevent19_c => if (HPM_NUM_CNTS > 16) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(16); else NULL; end if;
2725
          when csr_mhpmevent20_c => if (HPM_NUM_CNTS > 17) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(17); else NULL; end if;
2726
          when csr_mhpmevent21_c => if (HPM_NUM_CNTS > 18) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(18); else NULL; end if;
2727
          when csr_mhpmevent22_c => if (HPM_NUM_CNTS > 19) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(19); else NULL; end if;
2728
          when csr_mhpmevent23_c => if (HPM_NUM_CNTS > 20) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(20); else NULL; end if;
2729
          when csr_mhpmevent24_c => if (HPM_NUM_CNTS > 21) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(21); else NULL; end if;
2730
          when csr_mhpmevent25_c => if (HPM_NUM_CNTS > 22) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(22); else NULL; end if;
2731
          when csr_mhpmevent26_c => if (HPM_NUM_CNTS > 23) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(23); else NULL; end if;
2732
          when csr_mhpmevent27_c => if (HPM_NUM_CNTS > 24) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(24); else NULL; end if;
2733
          when csr_mhpmevent28_c => if (HPM_NUM_CNTS > 25) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(25); else NULL; end if;
2734
          when csr_mhpmevent29_c => if (HPM_NUM_CNTS > 26) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(26); else NULL; end if;
2735
          when csr_mhpmevent30_c => if (HPM_NUM_CNTS > 27) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(27); else NULL; end if;
2736
          when csr_mhpmevent31_c => if (HPM_NUM_CNTS > 28) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(28); else NULL; end if;
2737 42 zero_gravi
 
2738 29 zero_gravi
          -- counters and timers --
2739 59 zero_gravi
          -- --------------------------------------------------------------------
2740
          when csr_cycle_c | csr_mcycle_c => -- [m]cycle (r/w): Cycle counter LOW
2741 58 zero_gravi
            if (cpu_cnt_lo_width_c > 0) then csr.rdata(cpu_cnt_lo_width_c-1 downto 0) <= csr.mcycle(cpu_cnt_lo_width_c-1 downto 0); else NULL; end if;
2742 59 zero_gravi
          when csr_cycleh_c | csr_mcycleh_c => -- [m]cycleh (r/w): Cycle counter HIGH
2743 58 zero_gravi
            if (cpu_cnt_hi_width_c > 0) then csr.rdata(cpu_cnt_hi_width_c-1 downto 0) <= csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0); else NULL; end if;
2744
 
2745 59 zero_gravi
          when csr_instret_c | csr_minstret_c => -- [m]instret (r/w): Instructions-retired counter LOW
2746 58 zero_gravi
            if (cpu_cnt_lo_width_c > 0) then csr.rdata(cpu_cnt_lo_width_c-1 downto 0) <= csr.minstret(cpu_cnt_lo_width_c-1 downto 0); else NULL; end if;
2747 59 zero_gravi
          when csr_instreth_c | csr_minstreth_c => -- [m]instreth (r/w): Instructions-retired counter HIGH
2748 58 zero_gravi
            if (cpu_cnt_hi_width_c > 0) then csr.rdata(cpu_cnt_hi_width_c-1 downto 0) <= csr.minstreth(cpu_cnt_hi_width_c-1 downto 0); else NULL; end if;
2749
 
2750 63 zero_gravi
          when csr_time_c  => csr.rdata <= time_i(31 downto 0);  -- time (r/-): System time LOW (from MTIME unit)
2751 59 zero_gravi
          when csr_timeh_c => csr.rdata <= time_i(63 downto 32); -- timeh (r/-): System time HIGH (from MTIME unit)
2752 11 zero_gravi
 
2753 42 zero_gravi
          -- hardware performance counters --
2754 59 zero_gravi
          -- --------------------------------------------------------------------
2755 63 zero_gravi
          -- low word (r/w) --
2756
          when csr_mhpmcounter3_c   => if (HPM_NUM_CNTS > 00) then csr.rdata <= csr.mhpmcounter_rd(00); else NULL; end if;
2757
          when csr_mhpmcounter4_c   => if (HPM_NUM_CNTS > 01) then csr.rdata <= csr.mhpmcounter_rd(01); else NULL; end if;
2758
          when csr_mhpmcounter5_c   => if (HPM_NUM_CNTS > 02) then csr.rdata <= csr.mhpmcounter_rd(02); else NULL; end if;
2759
          when csr_mhpmcounter6_c   => if (HPM_NUM_CNTS > 03) then csr.rdata <= csr.mhpmcounter_rd(03); else NULL; end if;
2760
          when csr_mhpmcounter7_c   => if (HPM_NUM_CNTS > 04) then csr.rdata <= csr.mhpmcounter_rd(04); else NULL; end if;
2761
          when csr_mhpmcounter8_c   => if (HPM_NUM_CNTS > 05) then csr.rdata <= csr.mhpmcounter_rd(05); else NULL; end if;
2762
          when csr_mhpmcounter9_c   => if (HPM_NUM_CNTS > 06) then csr.rdata <= csr.mhpmcounter_rd(06); else NULL; end if;
2763
          when csr_mhpmcounter10_c  => if (HPM_NUM_CNTS > 07) then csr.rdata <= csr.mhpmcounter_rd(07); else NULL; end if;
2764
          when csr_mhpmcounter11_c  => if (HPM_NUM_CNTS > 08) then csr.rdata <= csr.mhpmcounter_rd(08); else NULL; end if;
2765
          when csr_mhpmcounter12_c  => if (HPM_NUM_CNTS > 09) then csr.rdata <= csr.mhpmcounter_rd(09); else NULL; end if;
2766
          when csr_mhpmcounter13_c  => if (HPM_NUM_CNTS > 10) then csr.rdata <= csr.mhpmcounter_rd(10); else NULL; end if;
2767
          when csr_mhpmcounter14_c  => if (HPM_NUM_CNTS > 11) then csr.rdata <= csr.mhpmcounter_rd(11); else NULL; end if;
2768
          when csr_mhpmcounter15_c  => if (HPM_NUM_CNTS > 12) then csr.rdata <= csr.mhpmcounter_rd(12); else NULL; end if;
2769
          when csr_mhpmcounter16_c  => if (HPM_NUM_CNTS > 13) then csr.rdata <= csr.mhpmcounter_rd(13); else NULL; end if;
2770
          when csr_mhpmcounter17_c  => if (HPM_NUM_CNTS > 14) then csr.rdata <= csr.mhpmcounter_rd(14); else NULL; end if;
2771
          when csr_mhpmcounter18_c  => if (HPM_NUM_CNTS > 15) then csr.rdata <= csr.mhpmcounter_rd(15); else NULL; end if;
2772
          when csr_mhpmcounter19_c  => if (HPM_NUM_CNTS > 16) then csr.rdata <= csr.mhpmcounter_rd(16); else NULL; end if;
2773
          when csr_mhpmcounter20_c  => if (HPM_NUM_CNTS > 17) then csr.rdata <= csr.mhpmcounter_rd(17); else NULL; end if;
2774
          when csr_mhpmcounter21_c  => if (HPM_NUM_CNTS > 18) then csr.rdata <= csr.mhpmcounter_rd(18); else NULL; end if;
2775
          when csr_mhpmcounter22_c  => if (HPM_NUM_CNTS > 19) then csr.rdata <= csr.mhpmcounter_rd(19); else NULL; end if;
2776
          when csr_mhpmcounter23_c  => if (HPM_NUM_CNTS > 20) then csr.rdata <= csr.mhpmcounter_rd(20); else NULL; end if;
2777
          when csr_mhpmcounter24_c  => if (HPM_NUM_CNTS > 21) then csr.rdata <= csr.mhpmcounter_rd(21); else NULL; end if;
2778
          when csr_mhpmcounter25_c  => if (HPM_NUM_CNTS > 22) then csr.rdata <= csr.mhpmcounter_rd(22); else NULL; end if;
2779
          when csr_mhpmcounter26_c  => if (HPM_NUM_CNTS > 23) then csr.rdata <= csr.mhpmcounter_rd(23); else NULL; end if;
2780
          when csr_mhpmcounter27_c  => if (HPM_NUM_CNTS > 24) then csr.rdata <= csr.mhpmcounter_rd(24); else NULL; end if;
2781
          when csr_mhpmcounter28_c  => if (HPM_NUM_CNTS > 25) then csr.rdata <= csr.mhpmcounter_rd(25); else NULL; end if;
2782
          when csr_mhpmcounter29_c  => if (HPM_NUM_CNTS > 26) then csr.rdata <= csr.mhpmcounter_rd(26); else NULL; end if;
2783
          when csr_mhpmcounter30_c  => if (HPM_NUM_CNTS > 27) then csr.rdata <= csr.mhpmcounter_rd(27); else NULL; end if;
2784
          when csr_mhpmcounter31_c  => if (HPM_NUM_CNTS > 28) then csr.rdata <= csr.mhpmcounter_rd(28); else NULL; end if;
2785
          -- high word (r/w) --
2786
          when csr_mhpmcounter3h_c  => if (HPM_NUM_CNTS > 00) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(00); else NULL; end if;
2787
          when csr_mhpmcounter4h_c  => if (HPM_NUM_CNTS > 01) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(01); else NULL; end if;
2788
          when csr_mhpmcounter5h_c  => if (HPM_NUM_CNTS > 02) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(02); else NULL; end if;
2789
          when csr_mhpmcounter6h_c  => if (HPM_NUM_CNTS > 03) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(03); else NULL; end if;
2790
          when csr_mhpmcounter7h_c  => if (HPM_NUM_CNTS > 04) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(04); else NULL; end if;
2791
          when csr_mhpmcounter8h_c  => if (HPM_NUM_CNTS > 05) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(05); else NULL; end if;
2792
          when csr_mhpmcounter9h_c  => if (HPM_NUM_CNTS > 06) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(06); else NULL; end if;
2793
          when csr_mhpmcounter10h_c => if (HPM_NUM_CNTS > 07) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(07); else NULL; end if;
2794
          when csr_mhpmcounter11h_c => if (HPM_NUM_CNTS > 08) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(08); else NULL; end if;
2795
          when csr_mhpmcounter12h_c => if (HPM_NUM_CNTS > 09) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(09); else NULL; end if;
2796
          when csr_mhpmcounter13h_c => if (HPM_NUM_CNTS > 10) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(10); else NULL; end if;
2797
          when csr_mhpmcounter14h_c => if (HPM_NUM_CNTS > 11) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(11); else NULL; end if;
2798
          when csr_mhpmcounter15h_c => if (HPM_NUM_CNTS > 12) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(12); else NULL; end if;
2799
          when csr_mhpmcounter16h_c => if (HPM_NUM_CNTS > 13) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(13); else NULL; end if;
2800
          when csr_mhpmcounter17h_c => if (HPM_NUM_CNTS > 14) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(14); else NULL; end if;
2801
          when csr_mhpmcounter18h_c => if (HPM_NUM_CNTS > 15) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(15); else NULL; end if;
2802
          when csr_mhpmcounter19h_c => if (HPM_NUM_CNTS > 16) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(16); else NULL; end if;
2803
          when csr_mhpmcounter20h_c => if (HPM_NUM_CNTS > 17) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(17); else NULL; end if;
2804
          when csr_mhpmcounter21h_c => if (HPM_NUM_CNTS > 18) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(18); else NULL; end if;
2805
          when csr_mhpmcounter22h_c => if (HPM_NUM_CNTS > 19) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(19); else NULL; end if;
2806
          when csr_mhpmcounter23h_c => if (HPM_NUM_CNTS > 20) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(20); else NULL; end if;
2807
          when csr_mhpmcounter24h_c => if (HPM_NUM_CNTS > 21) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(21); else NULL; end if;
2808
          when csr_mhpmcounter25h_c => if (HPM_NUM_CNTS > 22) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(22); else NULL; end if;
2809
          when csr_mhpmcounter26h_c => if (HPM_NUM_CNTS > 23) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(23); else NULL; end if;
2810
          when csr_mhpmcounter27h_c => if (HPM_NUM_CNTS > 24) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(24); else NULL; end if;
2811
          when csr_mhpmcounter28h_c => if (HPM_NUM_CNTS > 25) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(25); else NULL; end if;
2812
          when csr_mhpmcounter29h_c => if (HPM_NUM_CNTS > 26) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(26); else NULL; end if;
2813
          when csr_mhpmcounter30h_c => if (HPM_NUM_CNTS > 27) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(27); else NULL; end if;
2814
          when csr_mhpmcounter31h_c => if (HPM_NUM_CNTS > 28) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(28); else NULL; end if;
2815 42 zero_gravi
 
2816 11 zero_gravi
          -- machine information registers --
2817 59 zero_gravi
          -- --------------------------------------------------------------------
2818 63 zero_gravi
--        when csr_mvendorid_c  => NULL; -- mvendorid (r/-): vendor ID, implemented but always zero
2819 62 zero_gravi
          when csr_marchid_c    => csr.rdata(4 downto 0) <= "10011"; -- marchid (r/-): arch ID - official RISC-V open-source arch ID
2820
          when csr_mimpid_c     => csr.rdata <= hw_version_c; -- mimpid (r/-): implementation ID -- NEORV32 hardware version
2821
          when csr_mhartid_c    => csr.rdata <= std_ulogic_vector(to_unsigned(HW_THREAD_ID, 32)); -- mhartid (r/-): hardware thread ID
2822 63 zero_gravi
--        when csr_mconfigptr_c => NULL; -- mconfigptr (r/-): machine configuration pointer register, implemented but not assigned yet
2823 11 zero_gravi
 
2824 59 zero_gravi
          -- debug mode CSRs --
2825
          -- --------------------------------------------------------------------
2826
          when csr_dcsr_c      => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dcsr_rd;   else NULL; end if; -- dcsr (r/w): debug mode control and status
2827
          when csr_dpc_c       => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dpc;       else NULL; end if; -- dpc (r/w): debug mode program counter
2828
          when csr_dscratch0_c => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dscratch0; else NULL; end if; -- dscratch0 (r/w): debug mode scratch register 0
2829
 
2830 11 zero_gravi
          -- undefined/unavailable --
2831 59 zero_gravi
          -- --------------------------------------------------------------------
2832 11 zero_gravi
          when others =>
2833 60 zero_gravi
            NULL; -- not implemented, read as zero if read access is granted
2834 11 zero_gravi
 
2835
        end case;
2836 2 zero_gravi
      end if;
2837
    end if;
2838
  end process csr_read_access;
2839
 
2840 27 zero_gravi
  -- CSR read data output --
2841
  csr_rdata_o <= csr.rdata;
2842
 
2843 12 zero_gravi
 
2844 59 zero_gravi
  -- Debug Control --------------------------------------------------------------------------
2845
  -- -------------------------------------------------------------------------------------------
2846
  debug_control: process(rstn_i, clk_i)
2847
  begin
2848
    if (rstn_i = '0') then
2849
      debug_ctrl.state        <= DEBUG_OFFLINE;
2850
      debug_ctrl.ext_halt_req <= "00";
2851
    elsif rising_edge(clk_i) then
2852
      if (CPU_EXTENSION_RISCV_DEBUG = true) then
2853
 
2854
        -- rising edge detector --
2855
        debug_ctrl.ext_halt_req <= debug_ctrl.ext_halt_req(0) & db_halt_req_i;
2856
 
2857
        -- state machine --
2858
        case debug_ctrl.state is
2859
 
2860
          when DEBUG_OFFLINE => -- not in debug mode, waiting for entering request
2861
            if (debug_ctrl.trig_halt = '1') or -- external request (from DM)
2862
               (debug_ctrl.trig_break = '1') or -- ebreak instruction
2863
               (debug_ctrl.trig_step = '1') then -- single-stepping mode
2864
              debug_ctrl.state <= DEBUG_PENDING;
2865
            end if;
2866
 
2867
          when DEBUG_PENDING => -- waiting to start debug mode
2868
            if (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause(5) = '1') then -- processing trap entry into debug mode
2869
              debug_ctrl.state <= DEBUG_ONLINE;
2870
            end if;
2871
 
2872
          when DEBUG_ONLINE => -- we are in debug mode
2873
            if (debug_ctrl.dret = '1') then -- DRET instruction
2874
              debug_ctrl.state <= DEBUG_EXIT;
2875
            end if;
2876
 
2877
          when DEBUG_EXIT => -- leaving debug mode
2878
            if (execute_engine.state = TRAP_EXECUTE) then -- processing trap exit
2879
              debug_ctrl.state <= DEBUG_OFFLINE;
2880
            end if;
2881
 
2882
          when others => -- undefined
2883
            debug_ctrl.state <= DEBUG_OFFLINE;
2884
 
2885
        end case;
2886
      else -- debug mode NOT implemented
2887
        debug_ctrl.state        <= DEBUG_OFFLINE;
2888
        debug_ctrl.ext_halt_req <= "00";
2889
      end if;
2890
    end if;
2891
  end process debug_control;
2892
 
2893
  -- state decoding --
2894
  debug_ctrl.pending <= '1' when (debug_ctrl.state = DEBUG_PENDING) and (CPU_EXTENSION_RISCV_DEBUG = true) else '0';
2895
  debug_ctrl.running <= '1' when ((debug_ctrl.state = DEBUG_ONLINE) or (debug_ctrl.state = DEBUG_EXIT)) and (CPU_EXTENSION_RISCV_DEBUG = true) else '0';
2896
 
2897
  -- entry debug mode triggers --
2898
  debug_ctrl.trig_break <= trap_ctrl.break_point and (debug_ctrl.running or -- we are in debug mode: re-enter debug mode
2899 60 zero_gravi
                           (csr.priv_m_mode and csr.dcsr_ebreakm and (not debug_ctrl.running)) or -- enabled goto-debug-mode in machine mode on "ebreak"
2900
                           (csr.priv_u_mode and csr.dcsr_ebreaku and (not debug_ctrl.running))); -- enabled goto-debug-mode in user mode on "ebreak"
2901 59 zero_gravi
  debug_ctrl.trig_halt <= (not debug_ctrl.ext_halt_req(1)) and debug_ctrl.ext_halt_req(0) and (not debug_ctrl.running); -- rising edge detector from external halt request (if not halted already)
2902
  debug_ctrl.trig_step <= csr.dcsr_step and (not debug_ctrl.running); -- single-step mode (trigger when NOT CURRENTLY in debug mode)
2903
 
2904
 
2905
  -- Debug Control and Status Register (dcsr) - Read-Back -----------------------------------
2906
  -- -------------------------------------------------------------------------------------------
2907
  dcsr_readback_false:
2908
  if (CPU_EXTENSION_RISCV_DEBUG = false) generate
2909 60 zero_gravi
    csr.dcsr_rd <= (others => '-');
2910 59 zero_gravi
  end generate;
2911
 
2912
  dcsr_readback_true:
2913
  if (CPU_EXTENSION_RISCV_DEBUG = true) generate
2914
    csr.dcsr_rd(31 downto 28) <= "0100"; -- xdebugver: external debug support compatible to spec
2915
    csr.dcsr_rd(27 downto 16) <= (others => '0'); -- reserved
2916
    csr.dcsr_rd(15) <= csr.dcsr_ebreakm; -- ebreakm: what happens on ebreak in m-mode? (normal trap OR debug-enter)
2917
    csr.dcsr_rd(14) <= '0'; -- ebreakh: not available
2918
    csr.dcsr_rd(13) <= '0'; -- ebreaks: not available
2919
    csr.dcsr_rd(12) <= csr.dcsr_ebreaku when (CPU_EXTENSION_RISCV_U = true) else '0'; -- ebreaku: what happens on ebreak in u-mode? (normal trap OR debug-enter)
2920 60 zero_gravi
    csr.dcsr_rd(11) <= '0'; -- stepie: interrupts are disabled during single-stepping
2921 59 zero_gravi
    csr.dcsr_rd(10) <= '0'; -- stopcount: counters increment as usual FIXME ???
2922 60 zero_gravi
    csr.dcsr_rd(09) <= '0'; -- stoptime: timers increment as usual
2923
    csr.dcsr_rd(08 downto 06) <= csr.dcsr_cause; -- debug mode entry cause
2924 59 zero_gravi
    csr.dcsr_rd(05) <= '0'; -- reserved
2925
    csr.dcsr_rd(04) <= '0'; -- mprven: mstatus.mprv is ignored in debug mode
2926
    csr.dcsr_rd(03) <= trap_ctrl.irq_buf(interrupt_nm_irq_c); -- nmip: pending non-maskable interrupt
2927
    csr.dcsr_rd(02) <= csr.dcsr_step; -- step: single-step mode
2928
    csr.dcsr_rd(01 downto 00) <= csr.dcsr_prv; -- prv: privilege mode when debug mode was entered
2929
  end generate;
2930
 
2931
 
2932 2 zero_gravi
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.