OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_control.vhd] - Blame information for rev 70

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - CPU Control >>                                                                   #
3
-- # ********************************************************************************************* #
4 31 zero_gravi
-- # CPU operation is split into a fetch engine (responsible for fetching instruction data), an    #
5
-- # issue engine (for recoding compressed instructions and for constructing 32-bit instruction    #
6
-- # words) and an execute engine (responsible for actually executing the instructions), a trap    #
7 44 zero_gravi
-- # handling controller and the RISC-V status and control register set (CSRs) including the       #
8
-- # hardware performance monitor counters.                                                        #
9 2 zero_gravi
-- # ********************************************************************************************* #
10
-- # BSD 3-Clause License                                                                          #
11
-- #                                                                                               #
12 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
13 2 zero_gravi
-- #                                                                                               #
14
-- # Redistribution and use in source and binary forms, with or without modification, are          #
15
-- # permitted provided that the following conditions are met:                                     #
16
-- #                                                                                               #
17
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
18
-- #    conditions and the following disclaimer.                                                   #
19
-- #                                                                                               #
20
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
21
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
22
-- #    provided with the distribution.                                                            #
23
-- #                                                                                               #
24
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
25
-- #    endorse or promote products derived from this software without specific prior written      #
26
-- #    permission.                                                                                #
27
-- #                                                                                               #
28
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
29
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
30
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
31
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
32
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
33
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
34
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
35
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
36
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
37
-- # ********************************************************************************************* #
38
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
39
-- #################################################################################################
40
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
use ieee.numeric_std.all;
44
 
45
library neorv32;
46
use neorv32.neorv32_package.all;
47
 
48
entity neorv32_cpu_control is
49
  generic (
50
    -- General --
51 62 zero_gravi
    HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
52
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
53
    CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
54 2 zero_gravi
    -- RISC-V CPU Extensions --
55 62 zero_gravi
    CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
56 66 zero_gravi
    CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
57 62 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
58
    CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
59 66 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
60 62 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
61
    CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
62
    CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
63 66 zero_gravi
    CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
64
    CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
65 62 zero_gravi
    CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
66
    CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
67
    CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
68 56 zero_gravi
    -- Extension Options --
69 62 zero_gravi
    CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
70
    CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
71 15 zero_gravi
    -- Physical memory protection (PMP) --
72 62 zero_gravi
    PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
73
    PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
74 42 zero_gravi
    -- Hardware Performance Monitors (HPM) --
75 62 zero_gravi
    HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
76
    HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
77 2 zero_gravi
  );
78
  port (
79
    -- global control --
80
    clk_i         : in  std_ulogic; -- global clock, rising edge
81
    rstn_i        : in  std_ulogic; -- global reset, low-active, async
82
    ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
83
    -- status input --
84 61 zero_gravi
    alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
85 12 zero_gravi
    bus_i_wait_i  : in  std_ulogic; -- wait for bus
86
    bus_d_wait_i  : in  std_ulogic; -- wait for bus
87 57 zero_gravi
    excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
88 2 zero_gravi
    -- data input --
89
    instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
90
    cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
91 36 zero_gravi
    alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
92
    rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
93 2 zero_gravi
    -- data output --
94
    imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
95 6 zero_gravi
    fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
96
    curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
97 68 zero_gravi
    next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to next instruction)
98 2 zero_gravi
    csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
99 52 zero_gravi
    -- FPU interface --
100
    fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
101 59 zero_gravi
    -- debug mode (halt) request --
102
    db_halt_req_i : in  std_ulogic;
103 14 zero_gravi
    -- interrupts (risc-v compliant) --
104
    msw_irq_i     : in  std_ulogic; -- machine software interrupt
105
    mext_irq_i    : in  std_ulogic; -- machine external interrupt
106 2 zero_gravi
    mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
107 14 zero_gravi
    -- fast interrupts (custom) --
108 48 zero_gravi
    firq_i        : in  std_ulogic_vector(15 downto 0);
109 11 zero_gravi
    -- system time input from MTIME --
110
    time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
111 15 zero_gravi
    -- physical memory protection --
112 23 zero_gravi
    pmp_addr_o    : out pmp_addr_if_t; -- addresses
113
    pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
114 2 zero_gravi
    -- bus access exceptions --
115 66 zero_gravi
    mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
116 2 zero_gravi
    ma_instr_i    : in  std_ulogic; -- misaligned instruction address
117
    ma_load_i     : in  std_ulogic; -- misaligned load data address
118
    ma_store_i    : in  std_ulogic; -- misaligned store data address
119
    be_instr_i    : in  std_ulogic; -- bus error on instruction access
120
    be_load_i     : in  std_ulogic; -- bus error on load data access
121 12 zero_gravi
    be_store_i    : in  std_ulogic  -- bus error on store data access
122 2 zero_gravi
  );
123
end neorv32_cpu_control;
124
 
125
architecture neorv32_cpu_control_rtl of neorv32_cpu_control is
126
 
127 56 zero_gravi
  -- CPU core counter ([m]cycle, [m]instret) width - high/low parts --
128
  constant cpu_cnt_lo_width_c : natural := natural(cond_sel_int_f(boolean(CPU_CNT_WIDTH < 32), CPU_CNT_WIDTH, 32));
129
  constant cpu_cnt_hi_width_c : natural := natural(cond_sel_int_f(boolean(CPU_CNT_WIDTH > 32), CPU_CNT_WIDTH-32, 0));
130
 
131
  -- HPM counter width - high/low parts --
132
  constant hpm_cnt_lo_width_c : natural := natural(cond_sel_int_f(boolean(HPM_CNT_WIDTH < 32), HPM_CNT_WIDTH, 32));
133
  constant hpm_cnt_hi_width_c : natural := natural(cond_sel_int_f(boolean(HPM_CNT_WIDTH > 32), HPM_CNT_WIDTH-32, 0));
134
 
135 57 zero_gravi
  -- instruction fetch engine --
136
  type fetch_engine_state_t is (IFETCH_REQUEST, IFETCH_ISSUE);
137 6 zero_gravi
  type fetch_engine_t is record
138 31 zero_gravi
    state       : fetch_engine_state_t;
139
    state_nxt   : fetch_engine_state_t;
140 42 zero_gravi
    state_prev  : fetch_engine_state_t;
141 57 zero_gravi
    restart     : std_ulogic;
142
    restart_nxt : std_ulogic;
143 31 zero_gravi
    pc          : std_ulogic_vector(data_width_c-1 downto 0);
144
    pc_nxt      : std_ulogic_vector(data_width_c-1 downto 0);
145
    reset       : std_ulogic;
146
    bus_err_ack : std_ulogic;
147 6 zero_gravi
  end record;
148
  signal fetch_engine : fetch_engine_t;
149 2 zero_gravi
 
150 61 zero_gravi
  -- instruction prefetch buffer (FIFO) interface --
151 6 zero_gravi
  type ipb_t is record
152 31 zero_gravi
    wdata : std_ulogic_vector(2+31 downto 0); -- write status (bus_error, align_error) + 32-bit instruction data
153
    we    : std_ulogic; -- trigger write
154
    free  : std_ulogic; -- free entry available?
155
    clear : std_ulogic; -- clear all entries
156 20 zero_gravi
    --
157 31 zero_gravi
    rdata : std_ulogic_vector(2+31 downto 0); -- read data: status (bus_error, align_error) + 32-bit instruction data
158
    re    : std_ulogic; -- read enable
159
    avail : std_ulogic; -- data available?
160 6 zero_gravi
  end record;
161
  signal ipb : ipb_t;
162 2 zero_gravi
 
163 31 zero_gravi
  -- pre-decoder --
164
  signal ci_instr16 : std_ulogic_vector(15 downto 0);
165
  signal ci_instr32 : std_ulogic_vector(31 downto 0);
166
  signal ci_illegal : std_ulogic;
167
 
168 57 zero_gravi
  -- instruction issue engine --
169 31 zero_gravi
  type issue_engine_state_t is (ISSUE_ACTIVE, ISSUE_REALIGN);
170
  type issue_engine_t is record
171
    state     : issue_engine_state_t;
172
    state_nxt : issue_engine_state_t;
173
    align     : std_ulogic;
174
    align_nxt : std_ulogic;
175
    buf       : std_ulogic_vector(2+15 downto 0);
176
    buf_nxt   : std_ulogic_vector(2+15 downto 0);
177
  end record;
178
  signal issue_engine : issue_engine_t;
179
 
180 37 zero_gravi
  -- instruction issue interface --
181
  type cmd_issue_t is record
182
    data  : std_ulogic_vector(35 downto 0); -- 4-bit status + 32-bit instruction
183
    valid : std_ulogic; -- data word is valid when set
184 31 zero_gravi
  end record;
185 37 zero_gravi
  signal cmd_issue : cmd_issue_t;
186 31 zero_gravi
 
187 44 zero_gravi
  -- instruction decoding helper logic --
188
  type decode_aux_t is record
189 63 zero_gravi
    is_atomic_lr    : std_ulogic;
190
    is_atomic_sc    : std_ulogic;
191
    is_float_op     : std_ulogic;
192
    sys_env_cmd     : std_ulogic_vector(11 downto 0);
193
    is_m_mul        : std_ulogic;
194
    is_m_div        : std_ulogic;
195
    is_bitmanip_imm : std_ulogic;
196
    is_bitmanip_reg : std_ulogic;
197 68 zero_gravi
    rs1_zero        : std_ulogic;
198
    rs2_zero        : std_ulogic;
199
    rd_zero         : std_ulogic;
200 44 zero_gravi
  end record;
201
  signal decode_aux : decode_aux_t;
202
 
203 6 zero_gravi
  -- instruction execution engine --
204 66 zero_gravi
  type execute_engine_state_t is (SYS_WAIT, DISPATCH, TRAP_ENTER, TRAP_EXIT, TRAP_EXECUTE, EXECUTE, ALU_WAIT,
205
                                  BRANCH, LOADSTORE_0, LOADSTORE_1, LOADSTORE_2, SYS_ENV, CSR_ACCESS);
206 6 zero_gravi
  type execute_engine_t is record
207
    state        : execute_engine_state_t;
208
    state_nxt    : execute_engine_state_t;
209 42 zero_gravi
    state_prev   : execute_engine_state_t;
210 39 zero_gravi
    --
211 6 zero_gravi
    i_reg        : std_ulogic_vector(31 downto 0);
212
    i_reg_nxt    : std_ulogic_vector(31 downto 0);
213 33 zero_gravi
    i_reg_last   : std_ulogic_vector(31 downto 0); -- last executed instruction
214 39 zero_gravi
    --
215 6 zero_gravi
    is_ci        : std_ulogic; -- current instruction is de-compressed instruction
216
    is_ci_nxt    : std_ulogic;
217 66 zero_gravi
    is_ici       : std_ulogic; -- current instruction is illegal de-compressed instruction
218
    is_ici_nxt   : std_ulogic;
219 39 zero_gravi
    --
220 57 zero_gravi
    branch_taken : std_ulogic; -- branch condition fulfilled
221 6 zero_gravi
    pc           : std_ulogic_vector(data_width_c-1 downto 0); -- actual PC, corresponding to current executed instruction
222 49 zero_gravi
    pc_mux_sel   : std_ulogic; -- source select for PC update
223 39 zero_gravi
    pc_we        : std_ulogic; -- PC update enabled
224 6 zero_gravi
    next_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- next PC, corresponding to next instruction to be executed
225 49 zero_gravi
    next_pc_inc  : std_ulogic_vector(data_width_c-1 downto 0); -- increment to get next PC
226 6 zero_gravi
    last_pc      : std_ulogic_vector(data_width_c-1 downto 0); -- PC of last executed instruction
227 39 zero_gravi
    --
228 11 zero_gravi
    sleep        : std_ulogic; -- CPU in sleep mode
229 39 zero_gravi
    sleep_nxt    : std_ulogic;
230 49 zero_gravi
    branched     : std_ulogic; -- instruction fetch was reset
231
    branched_nxt : std_ulogic;
232 6 zero_gravi
  end record;
233
  signal execute_engine : execute_engine_t;
234 2 zero_gravi
 
235 6 zero_gravi
  -- trap controller --
236
  type trap_ctrl_t is record
237
    exc_buf       : std_ulogic_vector(exception_width_c-1 downto 0);
238
    exc_fire      : std_ulogic; -- set if there is a valid source in the exception buffer
239
    irq_buf       : std_ulogic_vector(interrupt_width_c-1 downto 0);
240
    irq_fire      : std_ulogic; -- set if there is a valid source in the interrupt buffer
241
    exc_ack       : std_ulogic; -- acknowledge all exceptions
242 59 zero_gravi
    cause         : std_ulogic_vector(6 downto 0); -- trap ID for mcause CSR
243
    cause_nxt     : std_ulogic_vector(6 downto 0);
244
    db_irq_fire   : std_ulogic; -- set if there is a valid IRQ source in the "enter debug mode" trap buffer
245 63 zero_gravi
    db_irq_en     : std_ulogic; -- set if IRQs are allowed in debug mode
246 6 zero_gravi
    --
247
    env_start     : std_ulogic; -- start trap handler env
248
    env_start_ack : std_ulogic; -- start of trap handler acknowledged
249
    env_end       : std_ulogic; -- end trap handler env
250
    --
251
    instr_be      : std_ulogic; -- instruction fetch bus error
252
    instr_ma      : std_ulogic; -- instruction fetch misaligned address
253
    instr_il      : std_ulogic; -- illegal instruction
254
    env_call      : std_ulogic;
255
    break_point   : std_ulogic;
256
  end record;
257
  signal trap_ctrl : trap_ctrl_t;
258
 
259 40 zero_gravi
  -- CPU main control bus --
260 6 zero_gravi
  signal ctrl_nxt, ctrl : std_ulogic_vector(ctrl_width_c-1 downto 0);
261 2 zero_gravi
 
262 40 zero_gravi
  -- fast instruction fetch access --
263 6 zero_gravi
  signal bus_fast_ir : std_ulogic;
264 2 zero_gravi
 
265 6 zero_gravi
  -- RISC-V control and status registers (CSRs) --
266 42 zero_gravi
  type pmp_ctrl_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(7 downto 0);
267
  type pmp_addr_t     is array (0 to PMP_NUM_REGIONS-1) of std_ulogic_vector(data_width_c-1 downto 0);
268
  type pmp_ctrl_rd_t  is array (0 to 63) of std_ulogic_vector(7 downto 0);
269
  type mhpmevent_t    is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
270 61 zero_gravi
  type mhpmcnt_t      is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(31 downto 0);
271
  type mhpmcnt_nxt_t  is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(32 downto 0);
272
  type mhpmcnt_ovfl_t is array (0 to HPM_NUM_CNTS-1) of std_ulogic_vector(0 downto 0);
273 56 zero_gravi
  type mhpmcnt_rd_t   is array (0 to 29) of std_ulogic_vector(31 downto 0);
274 6 zero_gravi
  type csr_t is record
275 42 zero_gravi
    addr              : std_ulogic_vector(11 downto 0); -- csr address
276
    we                : std_ulogic; -- csr write enable
277
    we_nxt            : std_ulogic;
278
    wdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr write data
279
    rdata             : std_ulogic_vector(data_width_c-1 downto 0); -- csr read data
280 29 zero_gravi
    --
281 42 zero_gravi
    mstatus_mie       : std_ulogic; -- mstatus.MIE: global IRQ enable (R/W)
282
    mstatus_mpie      : std_ulogic; -- mstatus.MPIE: previous global IRQ enable (R/W)
283
    mstatus_mpp       : std_ulogic_vector(1 downto 0); -- mstatus.MPP: machine previous privilege mode
284 29 zero_gravi
    --
285 42 zero_gravi
    mie_msie          : std_ulogic; -- mie.MSIE: machine software interrupt enable (R/W)
286
    mie_meie          : std_ulogic; -- mie.MEIE: machine external interrupt enable (R/W)
287
    mie_mtie          : std_ulogic; -- mie.MEIE: machine timer interrupt enable (R/W)
288 48 zero_gravi
    mie_firqe         : std_ulogic_vector(15 downto 0); -- mie.firq*e: fast interrupt enabled (R/W)
289 29 zero_gravi
    --
290 69 zero_gravi
    mip_clr           : std_ulogic_vector(15 downto 0); -- clear pending FIRQ
291
    --
292 42 zero_gravi
    mcounteren_cy     : std_ulogic; -- mcounteren.cy: allow cycle[h] access from user-mode
293
    mcounteren_tm     : std_ulogic; -- mcounteren.tm: allow time[h] access from user-mode
294
    mcounteren_ir     : std_ulogic; -- mcounteren.ir: allow instret[h] access from user-mode
295 29 zero_gravi
    --
296 42 zero_gravi
    mcountinhibit_cy  : std_ulogic; -- mcounterinhibit.cy: enable auto-increment for [m]cycle[h]
297
    mcountinhibit_ir  : std_ulogic; -- mcounterinhibit.ir: enable auto-increment for [m]instret[h]
298
    mcountinhibit_hpm : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0); -- mcounterinhibit.hpm3: enable auto-increment for mhpmcounterx[h]
299 40 zero_gravi
    --
300 42 zero_gravi
    privilege         : std_ulogic_vector(1 downto 0); -- hart's current privilege mode
301 59 zero_gravi
    privilege_rd      : std_ulogic_vector(1 downto 0); -- hart's current privilege mode (effective)
302 42 zero_gravi
    priv_m_mode       : std_ulogic; -- CPU in M-mode
303
    priv_u_mode       : std_ulogic; -- CPU in u-mode
304 41 zero_gravi
    --
305 42 zero_gravi
    mepc              : std_ulogic_vector(data_width_c-1 downto 0); -- mepc: machine exception pc (R/W)
306 49 zero_gravi
    mcause            : std_ulogic_vector(5 downto 0); -- mcause: machine trap cause (R/W)
307 42 zero_gravi
    mtvec             : std_ulogic_vector(data_width_c-1 downto 0); -- mtvec: machine trap-handler base address (R/W), bit 1:0 == 00
308 49 zero_gravi
    mtval             : std_ulogic_vector(data_width_c-1 downto 0); -- mtval: machine bad address or instruction (R/W)
309 42 zero_gravi
    --
310
    mhpmevent         : mhpmevent_t; -- mhpmevent*: machine performance-monitoring event selector (R/W)
311
    --
312
    mscratch          : std_ulogic_vector(data_width_c-1 downto 0); -- mscratch: scratch register (R/W)
313 56 zero_gravi
    --
314 61 zero_gravi
    mcycle            : std_ulogic_vector(31 downto 0); -- mcycle (R/W)
315
    mcycle_nxt        : std_ulogic_vector(32 downto 0);
316
    mcycle_ovfl       : std_ulogic_vector(00 downto 0); -- counter low-to-high-word overflow
317 60 zero_gravi
    mcycleh           : std_ulogic_vector(31 downto 0); -- mcycleh (R/W)
318 61 zero_gravi
    minstret          : std_ulogic_vector(31 downto 0); -- minstret (R/W)
319
    minstret_nxt      : std_ulogic_vector(32 downto 0);
320
    minstret_ovfl     : std_ulogic_vector(00 downto 0); -- counter low-to-high-word overflow
321 42 zero_gravi
    minstreth         : std_ulogic_vector(31 downto 0); -- minstreth (R/W)
322
    --
323
    mhpmcounter       : mhpmcnt_t; -- mhpmcounter* (R/W), plus carry bit
324 61 zero_gravi
    mhpmcounter_nxt   : mhpmcnt_nxt_t;
325
    mhpmcounter_ovfl  : mhpmcnt_ovfl_t; -- counter low-to-high-word overflow
326
    mhpmcounterh      : mhpmcnt_t; -- mhpmcounter*h (R/W)
327 42 zero_gravi
    mhpmcounter_rd    : mhpmcnt_rd_t; -- mhpmcounter* (R/W): actual read data
328 61 zero_gravi
    mhpmcounterh_rd   : mhpmcnt_rd_t; -- mhpmcounter*h (R/W): actual read data
329 42 zero_gravi
    --
330
    pmpcfg            : pmp_ctrl_t; -- physical memory protection - configuration registers
331
    pmpcfg_rd         : pmp_ctrl_rd_t; -- physical memory protection - actual read data
332
    pmpaddr           : pmp_addr_t; -- physical memory protection - address registers
333 52 zero_gravi
    --
334
    frm               : std_ulogic_vector(02 downto 0); -- frm (R/W): FPU rounding mode
335
    fflags            : std_ulogic_vector(04 downto 0); -- fflags (R/W): FPU exception flags
336 59 zero_gravi
    --
337
    dcsr_ebreakm      : std_ulogic; -- dcsr.ebreakm (R/W): behavior of ebreak instruction on m-mode
338
    dcsr_ebreaku      : std_ulogic; -- dcsr.ebreaku (R/W): behavior of ebreak instruction on u-mode
339
    dcsr_step         : std_ulogic; -- dcsr.step (R/W): single-step mode
340
    dcsr_prv          : std_ulogic_vector(01 downto 0); -- dcsr.prv (R/W): current privilege level when entering debug mode
341
    dcsr_cause        : std_ulogic_vector(02 downto 0); -- dcsr.cause (R/-): why was debug mode entered
342
    dcsr_rd           : std_ulogic_vector(data_width_c-1 downto 0); -- dcsr (R/(W)): debug mode control and status register
343
    dpc               : std_ulogic_vector(data_width_c-1 downto 0); -- dpc (R/W): debug mode program counter
344
    dscratch0         : std_ulogic_vector(data_width_c-1 downto 0); -- dscratch0 (R/W): debug mode scratch register 0
345 6 zero_gravi
  end record;
346
  signal csr : csr_t;
347 2 zero_gravi
 
348 59 zero_gravi
  -- debug mode controller --
349
  type debug_ctrl_state_t is (DEBUG_OFFLINE, DEBUG_PENDING, DEBUG_ONLINE, DEBUG_EXIT);
350
  type debug_ctrl_t is record
351
    state        : debug_ctrl_state_t;
352
    -- decoded state --
353
    running      : std_ulogic; -- debug mode active
354
    pending      : std_ulogic; -- waiting to start debug mode
355
    -- entering triggers --
356
    trig_break   : std_ulogic; -- ebreak instruction
357
    trig_halt    : std_ulogic; -- external request
358
    trig_step    : std_ulogic; -- single-stepping mode
359
    -- leave debug mode --
360
    dret         : std_ulogic; -- executed DRET instruction
361
    -- misc --
362 64 zero_gravi
    ext_halt_req : std_ulogic;
363 59 zero_gravi
  end record;
364
  signal debug_ctrl : debug_ctrl_t;
365
 
366 42 zero_gravi
  -- (hpm) counter events --
367 68 zero_gravi
  signal cnt_event      : std_ulogic_vector(hpmcnt_event_size_c-1 downto 0);
368
  signal hpmcnt_trigger : std_ulogic_vector(HPM_NUM_CNTS-1 downto 0);
369 42 zero_gravi
 
370 6 zero_gravi
  -- illegal instruction check --
371 66 zero_gravi
  signal illegal_opcode_lsbs : std_ulogic; -- opcode != rv32
372 2 zero_gravi
  signal illegal_instruction : std_ulogic;
373 66 zero_gravi
  signal illegal_register    : std_ulogic; -- illegal register (>x15) - E-extension
374
  signal illegal_compressed  : std_ulogic; -- illegal compressed instruction - C-extension
375 2 zero_gravi
 
376 15 zero_gravi
  -- access (privilege) check --
377
  signal csr_acc_valid : std_ulogic; -- valid CSR access (implemented and valid access rights)
378
 
379 2 zero_gravi
begin
380
 
381 6 zero_gravi
-- ****************************************************************************************************************************
382 56 zero_gravi
-- Instruction Fetch (always fetch 32-bit-aligned 32-bit chunks of data)
383 6 zero_gravi
-- ****************************************************************************************************************************
384
 
385
  -- Fetch Engine FSM Sync ------------------------------------------------------------------
386
  -- -------------------------------------------------------------------------------------------
387 31 zero_gravi
  fetch_engine_fsm_sync: process(rstn_i, clk_i)
388 6 zero_gravi
  begin
389
    if (rstn_i = '0') then
390 57 zero_gravi
      fetch_engine.state      <= IFETCH_REQUEST;
391
      fetch_engine.state_prev <= IFETCH_REQUEST;
392
      fetch_engine.restart    <= '1';
393 56 zero_gravi
      fetch_engine.pc         <= (others => def_rst_val_c);
394 6 zero_gravi
    elsif rising_edge(clk_i) then
395 57 zero_gravi
      fetch_engine.state      <= fetch_engine.state_nxt;
396
      fetch_engine.state_prev <= fetch_engine.state;
397 69 zero_gravi
      fetch_engine.restart    <= fetch_engine.restart_nxt or fetch_engine.reset;
398 70 zero_gravi
      if (fetch_engine.restart = '1') and (fetch_engine.state = IFETCH_REQUEST) then -- only update PC if no fetch request is pending
399 57 zero_gravi
        fetch_engine.pc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- initialize with "real" application PC
400 6 zero_gravi
      else
401 57 zero_gravi
        fetch_engine.pc <= fetch_engine.pc_nxt;
402 6 zero_gravi
      end if;
403
    end if;
404
  end process fetch_engine_fsm_sync;
405
 
406 12 zero_gravi
  -- PC output --
407 31 zero_gravi
  fetch_pc_o <= fetch_engine.pc(data_width_c-1 downto 1) & '0'; -- half-word aligned
408 6 zero_gravi
 
409 12 zero_gravi
 
410 6 zero_gravi
  -- Fetch Engine FSM Comb ------------------------------------------------------------------
411
  -- -------------------------------------------------------------------------------------------
412 31 zero_gravi
  fetch_engine_fsm_comb: process(fetch_engine, execute_engine, ipb, instr_i, bus_i_wait_i, be_instr_i, ma_instr_i)
413 6 zero_gravi
  begin
414
    -- arbiter defaults --
415 31 zero_gravi
    bus_fast_ir              <= '0';
416
    fetch_engine.state_nxt   <= fetch_engine.state;
417
    fetch_engine.pc_nxt      <= fetch_engine.pc;
418
    fetch_engine.bus_err_ack <= '0';
419 69 zero_gravi
    fetch_engine.restart_nxt <= fetch_engine.restart;
420 6 zero_gravi
 
421 69 zero_gravi
    -- instruction prefetch buffer defaults --
422 6 zero_gravi
    ipb.we    <= '0';
423 31 zero_gravi
    ipb.wdata <= be_instr_i & ma_instr_i & instr_i(31 downto 0); -- store exception info and instruction word
424 70 zero_gravi
    ipb.clear <= fetch_engine.restart; -- clear instruction buffer while being reset
425 6 zero_gravi
 
426
    -- state machine --
427
    case fetch_engine.state is
428
 
429 57 zero_gravi
      when IFETCH_REQUEST => -- request new 32-bit-aligned instruction word
430 6 zero_gravi
      -- ------------------------------------------------------------
431 57 zero_gravi
        if (ipb.free = '1') and (fetch_engine.restart = '0') then -- free entry in buffer AND no reset request?
432 31 zero_gravi
          bus_fast_ir            <= '1'; -- fast instruction fetch request
433
          fetch_engine.state_nxt <= IFETCH_ISSUE;
434
        end if;
435 69 zero_gravi
        fetch_engine.restart_nxt <= '0';
436 6 zero_gravi
 
437 31 zero_gravi
      when IFETCH_ISSUE => -- store instruction data to prefetch buffer
438 6 zero_gravi
      -- ------------------------------------------------------------
439 41 zero_gravi
        fetch_engine.bus_err_ack <= be_instr_i or ma_instr_i; -- ACK bus/alignment errors
440 12 zero_gravi
        if (bus_i_wait_i = '0') or (be_instr_i = '1') or (ma_instr_i = '1') then -- wait for bus response
441 70 zero_gravi
          fetch_engine.pc_nxt    <= std_ulogic_vector(unsigned(fetch_engine.pc) + 4);
442
          ipb.we                 <= not fetch_engine.restart; -- write to IPB if not being reset
443
          fetch_engine.state_nxt <= IFETCH_REQUEST;
444 6 zero_gravi
        end if;
445 11 zero_gravi
 
446 6 zero_gravi
      when others => -- undefined
447
      -- ------------------------------------------------------------
448 57 zero_gravi
        fetch_engine.state_nxt <= IFETCH_REQUEST;
449 6 zero_gravi
 
450
    end case;
451
  end process fetch_engine_fsm_comb;
452
 
453
 
454
-- ****************************************************************************************************************************
455
-- Instruction Prefetch Buffer
456
-- ****************************************************************************************************************************
457
 
458 20 zero_gravi
  -- Instruction Prefetch Buffer (FIFO) -----------------------------------------------------
459 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
460 61 zero_gravi
  instr_prefetch_buffer: neorv32_fifo
461
  generic map (
462 62 zero_gravi
    FIFO_DEPTH => CPU_IPB_ENTRIES,  -- number of fifo entries; has to be a power of two; min 1
463 61 zero_gravi
    FIFO_WIDTH => ipb.wdata'length, -- size of data elements in fifo
464
    FIFO_RSYNC => false,            -- we NEED to read data asynchronously
465
    FIFO_SAFE  => false             -- no safe access required (ensured by FIFO-external control)
466
  )
467
  port map (
468
    -- control --
469
    clk_i   => clk_i,     -- clock, rising edge
470
    rstn_i  => '1',       -- async reset, low-active
471
    clear_i => ipb.clear, -- sync reset, high-active
472 65 zero_gravi
    level_o => open,
473
    half_o  => open,
474 61 zero_gravi
    -- write port --
475
    wdata_i => ipb.wdata, -- write data
476
    we_i    => ipb.we,    -- write enable
477
    free_o  => ipb.free,  -- at least one entry is free when set
478
    -- read port --
479
    re_i    => ipb.re,    -- read enable
480
    rdata_o => ipb.rdata, -- read data
481
    avail_o => ipb.avail  -- data available when set
482
  );
483 20 zero_gravi
 
484 56 zero_gravi
 
485 6 zero_gravi
-- ****************************************************************************************************************************
486 31 zero_gravi
-- Instruction Issue (recoding of compressed instructions and 32-bit instruction word construction)
487
-- ****************************************************************************************************************************
488
 
489
  -- Issue Engine FSM Sync ------------------------------------------------------------------
490
  -- -------------------------------------------------------------------------------------------
491
  issue_engine_fsm_sync: process(rstn_i, clk_i)
492
  begin
493
    if (rstn_i = '0') then
494
      issue_engine.state <= ISSUE_ACTIVE;
495 40 zero_gravi
      issue_engine.align <= CPU_BOOT_ADDR(1); -- 32- or 16-bit boundary
496 66 zero_gravi
      issue_engine.buf   <= (others => '0');
497 31 zero_gravi
    elsif rising_edge(clk_i) then
498
      if (ipb.clear = '1') then
499 68 zero_gravi
        if (CPU_EXTENSION_RISCV_C = true) and (execute_engine.pc(1) = '1') then -- branch to unaligned address?
500
          issue_engine.state <= ISSUE_REALIGN;
501
          issue_engine.align <= '1'; -- aligned on 16-bit boundary
502 31 zero_gravi
        else
503
          issue_engine.state <= issue_engine.state_nxt;
504 69 zero_gravi
          issue_engine.align <= '0'; -- aligned on 32-bit boundary
505 31 zero_gravi
        end if;
506
      else
507
        issue_engine.state <= issue_engine.state_nxt;
508
        issue_engine.align <= issue_engine.align_nxt;
509
      end if;
510
      issue_engine.buf <= issue_engine.buf_nxt;
511
    end if;
512
  end process issue_engine_fsm_sync;
513
 
514
 
515
  -- Issue Engine FSM Comb ------------------------------------------------------------------
516
  -- -------------------------------------------------------------------------------------------
517 37 zero_gravi
  issue_engine_fsm_comb: process(issue_engine, ipb, execute_engine, ci_illegal, ci_instr32)
518 31 zero_gravi
  begin
519
    -- arbiter defaults --
520
    issue_engine.state_nxt <= issue_engine.state;
521
    issue_engine.align_nxt <= issue_engine.align;
522
    issue_engine.buf_nxt   <= issue_engine.buf;
523
 
524
    -- instruction prefetch buffer interface defaults --
525
    ipb.re <= '0';
526
 
527 37 zero_gravi
    -- instruction issue interface defaults --
528
    -- cmd_issue.data = <illegal_compressed_instruction> & <bus_error & alignment_error> & <is_compressed_instrucion> & <32-bit_instruction_word>
529
    cmd_issue.data  <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
530
    cmd_issue.valid <= '0';
531 31 zero_gravi
 
532
    -- state machine --
533
    case issue_engine.state is
534
 
535
      when ISSUE_ACTIVE => -- issue instruction if available
536
      -- ------------------------------------------------------------
537
        if (ipb.avail = '1') then -- instructions available?
538
 
539
          if (issue_engine.align = '0') or (CPU_EXTENSION_RISCV_C = false) then -- begin check in LOW instruction half-word
540 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
541 69 zero_gravi
              ipb.re               <= '1';
542 39 zero_gravi
              cmd_issue.valid      <= '1';
543 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
544
              if (ipb.rdata(1 downto 0) = "11") or (CPU_EXTENSION_RISCV_C = false) then -- uncompressed and "aligned"
545 37 zero_gravi
                cmd_issue.data <= '0' & ipb.rdata(33 downto 32) & '0' & ipb.rdata(31 downto 0);
546 31 zero_gravi
              else -- compressed
547 69 zero_gravi
                cmd_issue.data         <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
548 31 zero_gravi
                issue_engine.align_nxt <= '1';
549
              end if;
550
            end if;
551
 
552
          else -- begin check in HIGH instruction half-word
553 41 zero_gravi
            if (execute_engine.state = DISPATCH) then -- ready to issue new command?
554 39 zero_gravi
              cmd_issue.valid      <= '1';
555 31 zero_gravi
              issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16); -- store high half-word - we might need it for an unaligned uncompressed instruction
556
              if (issue_engine.buf(1 downto 0) = "11") then -- uncompressed and "unaligned"
557 69 zero_gravi
                ipb.re         <= '1';
558 66 zero_gravi
                cmd_issue.data <= '0' & (ipb.rdata(33 downto 32) or issue_engine.buf(17 downto 16)) & '0' & (ipb.rdata(15 downto 0) & issue_engine.buf(15 downto 0));
559 31 zero_gravi
              else -- compressed
560 36 zero_gravi
                -- do not read from ipb here!
561 69 zero_gravi
                cmd_issue.data         <= ci_illegal & ipb.rdata(33 downto 32) & '1' & ci_instr32;
562 31 zero_gravi
                issue_engine.align_nxt <= '0';
563
              end if;
564
            end if;
565
          end if;
566
        end if;
567
 
568
      when ISSUE_REALIGN => -- re-align input fifos after a branch to an unaligned address
569
      -- ------------------------------------------------------------
570
        issue_engine.buf_nxt <= ipb.rdata(33 downto 32) & ipb.rdata(31 downto 16);
571
        if (ipb.avail = '1') then -- instructions available?
572 69 zero_gravi
          ipb.re                 <= '1';
573 31 zero_gravi
          issue_engine.state_nxt <= ISSUE_ACTIVE;
574
        end if;
575
 
576
      when others => -- undefined
577
      -- ------------------------------------------------------------
578
        issue_engine.state_nxt <= ISSUE_ACTIVE;
579
 
580
    end case;
581
  end process issue_engine_fsm_comb;
582
 
583 41 zero_gravi
  -- 16-bit instructions: half-word select --
584 31 zero_gravi
  ci_instr16 <= ipb.rdata(15 downto 0) when (issue_engine.align = '0') else issue_engine.buf(15 downto 0);
585
 
586
 
587
  -- Compressed Instructions Recoding -------------------------------------------------------
588
  -- -------------------------------------------------------------------------------------------
589
  neorv32_cpu_decompressor_inst_true:
590
  if (CPU_EXTENSION_RISCV_C = true) generate
591
    neorv32_cpu_decompressor_inst: neorv32_cpu_decompressor
592
    port map (
593
      -- instruction input --
594
      ci_instr16_i => ci_instr16, -- compressed instruction input
595
      -- instruction output --
596
      ci_illegal_o => ci_illegal, -- is an illegal compressed instruction
597
      ci_instr32_o => ci_instr32  -- 32-bit decompressed instruction
598
    );
599
  end generate;
600
 
601
  neorv32_cpu_decompressor_inst_false:
602
  if (CPU_EXTENSION_RISCV_C = false) generate
603
    ci_instr32 <= (others => '0');
604
    ci_illegal <= '0';
605
  end generate;
606
 
607
 
608
-- ****************************************************************************************************************************
609 6 zero_gravi
-- Instruction Execution
610
-- ****************************************************************************************************************************
611
 
612 2 zero_gravi
  -- Immediate Generator --------------------------------------------------------------------
613
  -- -------------------------------------------------------------------------------------------
614 62 zero_gravi
  imm_gen: process(rstn_i, clk_i)
615 37 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
616 2 zero_gravi
  begin
617 56 zero_gravi
    if (rstn_i = '0') then
618
      imm_o <= (others => def_rst_val_c);
619
    elsif rising_edge(clk_i) then
620 68 zero_gravi
      -- default: I-immediate: ALU-immediate, loads, jump-and-link with registers
621
      imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
622
      imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
623
      imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
624
      imm_o(00)           <= execute_engine.i_reg(20);
625
 
626
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11";
627
      case opcode_v is -- save some bits here, the two LSBs are always "11" for rv32
628
        when opcode_store_c => -- S-immediate: store
629
          imm_o(31 downto 11) <= (others => execute_engine.i_reg(31)); -- sign extension
630
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
631
          imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
632
          imm_o(00)           <= execute_engine.i_reg(07);
633
        when opcode_branch_c => -- B-immediate: conditional branches
634
          imm_o(31 downto 12) <= (others => execute_engine.i_reg(31)); -- sign extension
635
          imm_o(11)           <= execute_engine.i_reg(07);
636
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
637
          imm_o(04 downto 01) <= execute_engine.i_reg(11 downto 08);
638
          imm_o(00)           <= '0';
639
        when opcode_lui_c | opcode_auipc_c => -- U-immediate: lui, auipc
640
          imm_o(31 downto 20) <= execute_engine.i_reg(31 downto 20);
641
          imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
642
          imm_o(11 downto 00) <= (others => '0');
643
        when opcode_jal_c => -- J-immediate: unconditional jumps
644
          imm_o(31 downto 20) <= (others => execute_engine.i_reg(31)); -- sign extension
645
          imm_o(19 downto 12) <= execute_engine.i_reg(19 downto 12);
646
          imm_o(11)           <= execute_engine.i_reg(20);
647
          imm_o(10 downto 05) <= execute_engine.i_reg(30 downto 25);
648
          imm_o(04 downto 01) <= execute_engine.i_reg(24 downto 21);
649
          imm_o(00)           <= '0';
650
        when opcode_atomic_c => -- atomic memory access and everything else
651
          if (CPU_EXTENSION_RISCV_A = true) then
652
            imm_o <= (others => '0'); -- effective address is addr = reg + 0 = reg
653
          else
654
            NULL; -- use default
655
          end if;
656
        when others => -- I-immediate
657
          NULL; -- use default
658
      end case;
659 2 zero_gravi
    end if;
660
  end process imm_gen;
661
 
662
 
663
  -- Branch Condition Check -----------------------------------------------------------------
664
  -- -------------------------------------------------------------------------------------------
665 6 zero_gravi
  branch_check: process(execute_engine.i_reg, cmp_i)
666 2 zero_gravi
  begin
667 6 zero_gravi
    case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is
668 2 zero_gravi
      when funct3_beq_c => -- branch if equal
669 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_equal_c);
670 2 zero_gravi
      when funct3_bne_c => -- branch if not equal
671 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_equal_c);
672 2 zero_gravi
      when funct3_blt_c | funct3_bltu_c => -- branch if less (signed/unsigned)
673 47 zero_gravi
        execute_engine.branch_taken <= cmp_i(cmp_less_c);
674 2 zero_gravi
      when funct3_bge_c | funct3_bgeu_c => -- branch if greater or equal (signed/unsigned)
675 47 zero_gravi
        execute_engine.branch_taken <= not cmp_i(cmp_less_c);
676 66 zero_gravi
      when others => -- invalid
677 6 zero_gravi
        execute_engine.branch_taken <= '0';
678 2 zero_gravi
    end case;
679
  end process branch_check;
680
 
681
 
682 6 zero_gravi
  -- Execute Engine FSM Sync ----------------------------------------------------------------
683 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
684 56 zero_gravi
  execute_engine_fsm_sync: process(rstn_i, clk_i)
685 2 zero_gravi
  begin
686
    if (rstn_i = '0') then
687 56 zero_gravi
      -- registers that DO require a specific reset state --
688 68 zero_gravi
      execute_engine.pc         <= CPU_BOOT_ADDR(data_width_c-1 downto 2) & "00"; -- 32-bit aligned!
689
      execute_engine.state      <= SYS_WAIT;
690
      execute_engine.sleep      <= '0';
691
      execute_engine.branched   <= '1'; -- reset is a branch from "somewhere"
692 57 zero_gravi
      -- no dedicated RESET required --
693 62 zero_gravi
      execute_engine.state_prev <= SYS_WAIT; -- actual reset value is not relevant
694 56 zero_gravi
      execute_engine.i_reg      <= (others => def_rst_val_c);
695
      execute_engine.is_ci      <= def_rst_val_c;
696 66 zero_gravi
      execute_engine.is_ici     <= def_rst_val_c;
697 56 zero_gravi
      execute_engine.last_pc    <= (others => def_rst_val_c);
698
      execute_engine.i_reg_last <= (others => def_rst_val_c);
699
      execute_engine.next_pc    <= (others => def_rst_val_c);
700
      ctrl                      <= (others => def_rst_val_c);
701
      ctrl(ctrl_bus_rd_c)       <= '0';
702
      ctrl(ctrl_bus_wr_c)       <= '0';
703 2 zero_gravi
    elsif rising_edge(clk_i) then
704 39 zero_gravi
      -- PC update --
705
      if (execute_engine.pc_we = '1') then
706 49 zero_gravi
        if (execute_engine.pc_mux_sel = '0') then
707 58 zero_gravi
          execute_engine.pc <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- normal (linear) increment OR trap enter/exit
708 49 zero_gravi
        else
709
          execute_engine.pc <= alu_add_i(data_width_c-1 downto 1) & '0'; -- jump/taken_branch
710
        end if;
711 39 zero_gravi
      end if;
712 68 zero_gravi
 
713
      execute_engine.state      <= execute_engine.state_nxt;
714 42 zero_gravi
      execute_engine.state_prev <= execute_engine.state;
715 68 zero_gravi
      execute_engine.sleep      <= execute_engine.sleep_nxt;
716
      execute_engine.branched   <= execute_engine.branched_nxt;
717 42 zero_gravi
      execute_engine.i_reg      <= execute_engine.i_reg_nxt;
718
      execute_engine.is_ci      <= execute_engine.is_ci_nxt;
719 66 zero_gravi
      execute_engine.is_ici     <= execute_engine.is_ici_nxt;
720 59 zero_gravi
 
721 66 zero_gravi
      -- PC & IR of "last executed" instruction for trap handling --
722 40 zero_gravi
      if (execute_engine.state = EXECUTE) then
723
        execute_engine.last_pc    <= execute_engine.pc;
724 39 zero_gravi
        execute_engine.i_reg_last <= execute_engine.i_reg;
725
      end if;
726 59 zero_gravi
 
727 70 zero_gravi
      -- next PC logic --
728 49 zero_gravi
      case execute_engine.state is
729 68 zero_gravi
        when TRAP_ENTER => -- ENTERING trap environment
730 59 zero_gravi
          if (CPU_EXTENSION_RISCV_DEBUG = false) then -- normal trapping
731
            execute_engine.next_pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
732
          else -- DEBUG MODE enabled
733
            if (trap_ctrl.cause(5) = '1') then -- trap cause: debug mode (re-)entry
734
              execute_engine.next_pc <= CPU_DEBUG_ADDR; -- debug mode enter; start at "parking loop" <normal_entry>
735
            elsif (debug_ctrl.running = '1') then -- any other exception INSIDE debug mode
736
              execute_engine.next_pc <= std_ulogic_vector(unsigned(CPU_DEBUG_ADDR) + 4); -- execute at "parking loop" <exception_entry>
737
            else -- normal trapping
738
              execute_engine.next_pc <= csr.mtvec(data_width_c-1 downto 1) & '0'; -- trap enter
739
            end if;
740
          end if;
741 68 zero_gravi
        when TRAP_EXIT => -- LEAVING trap environment
742 59 zero_gravi
          if (CPU_EXTENSION_RISCV_DEBUG = false) or (debug_ctrl.running = '0') then -- normal end of trap
743
            execute_engine.next_pc <= csr.mepc(data_width_c-1 downto 1) & '0'; -- trap exit
744
          else -- DEBUG MODE exiting
745
            execute_engine.next_pc <= csr.dpc(data_width_c-1 downto 1) & '0'; -- debug mode exit
746
          end if;
747 68 zero_gravi
        when EXECUTE => -- NORMAL pc increment
748 59 zero_gravi
          execute_engine.next_pc <= std_ulogic_vector(unsigned(execute_engine.pc) + unsigned(execute_engine.next_pc_inc)); -- next linear PC
749
        when others =>
750
          NULL;
751 49 zero_gravi
      end case;
752 59 zero_gravi
 
753 39 zero_gravi
      -- main control bus --
754 6 zero_gravi
      ctrl <= ctrl_nxt;
755 2 zero_gravi
    end if;
756 6 zero_gravi
  end process execute_engine_fsm_sync;
757 2 zero_gravi
 
758 56 zero_gravi
 
759 49 zero_gravi
  -- PC increment for next linear instruction (+2 for compressed instr., +4 otherwise) --
760
  execute_engine.next_pc_inc <= x"00000004" when ((execute_engine.is_ci = '0') or (CPU_EXTENSION_RISCV_C = false)) else x"00000002";
761 41 zero_gravi
 
762 20 zero_gravi
  -- PC output --
763 68 zero_gravi
  curr_pc_o <= execute_engine.pc(data_width_c-1 downto 1)      & '0'; -- current PC for ALU ops
764
  next_pc_o <= execute_engine.next_pc(data_width_c-1 downto 1) & '0'; -- next PC for ALU ops
765 6 zero_gravi
 
766 49 zero_gravi
  -- CSR access address --
767
  csr.addr <= execute_engine.i_reg(instr_csr_id_msb_c downto instr_csr_id_lsb_c);
768 20 zero_gravi
 
769 49 zero_gravi
 
770 6 zero_gravi
  -- CPU Control Bus Output -----------------------------------------------------------------
771
  -- -------------------------------------------------------------------------------------------
772 60 zero_gravi
  ctrl_output: process(ctrl, fetch_engine, trap_ctrl, bus_fast_ir, execute_engine, csr, debug_ctrl)
773 2 zero_gravi
  begin
774 36 zero_gravi
    -- signals from execute engine --
775 2 zero_gravi
    ctrl_o <= ctrl;
776 65 zero_gravi
    -- prevent commits if illegal instruction --
777
    ctrl_o(ctrl_rf_wb_en_c) <= ctrl(ctrl_rf_wb_en_c) and (not trap_ctrl.exc_buf(exception_iillegal_c));
778
    ctrl_o(ctrl_bus_rd_c)   <= ctrl(ctrl_bus_rd_c)   and (not trap_ctrl.exc_buf(exception_iillegal_c));
779
    ctrl_o(ctrl_bus_wr_c)   <= ctrl(ctrl_bus_wr_c)   and (not trap_ctrl.exc_buf(exception_iillegal_c));
780 36 zero_gravi
    -- current privilege level --
781 59 zero_gravi
    ctrl_o(ctrl_priv_lvl_msb_c downto ctrl_priv_lvl_lsb_c) <= csr.privilege_rd;
782 36 zero_gravi
    -- register addresses --
783 40 zero_gravi
    ctrl_o(ctrl_rf_rs1_adr4_c downto ctrl_rf_rs1_adr0_c) <= execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c);
784
    ctrl_o(ctrl_rf_rs2_adr4_c downto ctrl_rf_rs2_adr0_c) <= execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c);
785
    ctrl_o(ctrl_rf_rd_adr4_c  downto ctrl_rf_rd_adr0_c)  <= execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c);
786 69 zero_gravi
    -- instruction fetch request --
787 36 zero_gravi
    ctrl_o(ctrl_bus_if_c) <= bus_fast_ir;
788 12 zero_gravi
    -- bus error control --
789 47 zero_gravi
    ctrl_o(ctrl_bus_ierr_ack_c) <= fetch_engine.bus_err_ack; -- instruction fetch bus access error ACK
790
    ctrl_o(ctrl_bus_derr_ack_c) <= trap_ctrl.env_start_ack; -- data access bus error access ACK
791
    -- memory access size / sign --
792
    ctrl_o(ctrl_bus_unsigned_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- unsigned LOAD (LBU, LHU)
793
    ctrl_o(ctrl_bus_size_msb_c downto ctrl_bus_size_lsb_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c); -- mem transfer size
794
    -- alu.shifter --
795
    ctrl_o(ctrl_alu_shift_dir_c) <= execute_engine.i_reg(instr_funct3_msb_c); -- shift direction (left/right)
796
    ctrl_o(ctrl_alu_shift_ar_c)  <= execute_engine.i_reg(30); -- is arithmetic shift
797 36 zero_gravi
    -- instruction's function blocks (for co-processors) --
798 44 zero_gravi
    ctrl_o(ctrl_ir_opcode7_6_c  downto ctrl_ir_opcode7_0_c) <= execute_engine.i_reg(instr_opcode_msb_c  downto instr_opcode_lsb_c);
799 36 zero_gravi
    ctrl_o(ctrl_ir_funct12_11_c downto ctrl_ir_funct12_0_c) <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c);
800
    ctrl_o(ctrl_ir_funct3_2_c   downto ctrl_ir_funct3_0_c)  <= execute_engine.i_reg(instr_funct3_msb_c  downto instr_funct3_lsb_c);
801 47 zero_gravi
    -- cpu status --
802 64 zero_gravi
    ctrl_o(ctrl_sleep_c)         <= execute_engine.sleep; -- cpu is in sleep mode
803
    ctrl_o(ctrl_trap_c)          <= trap_ctrl.env_start_ack; -- cpu is starting a trap handler
804
    ctrl_o(ctrl_debug_running_c) <= debug_ctrl.running; -- cpu is currently in debug mode
805 61 zero_gravi
    -- FPU rounding mode --
806
    ctrl_o(ctrl_alu_frm2_c downto ctrl_alu_frm0_c) <= csr.frm;
807 6 zero_gravi
  end process ctrl_output;
808 2 zero_gravi
 
809
 
810 44 zero_gravi
  -- Decoding Helper Logic ------------------------------------------------------------------
811
  -- -------------------------------------------------------------------------------------------
812
  decode_helper: process(execute_engine)
813 49 zero_gravi
    variable sys_env_cmd_mask_v : std_ulogic_vector(11 downto 0);
814 44 zero_gravi
  begin
815
    -- defaults --
816 63 zero_gravi
    decode_aux.is_atomic_lr    <= '0';
817
    decode_aux.is_atomic_sc    <= '0';
818
    decode_aux.is_float_op     <= '0';
819
    decode_aux.is_m_mul        <= '0';
820
    decode_aux.is_m_div        <= '0';
821
    decode_aux.is_bitmanip_imm <= '0';
822
    decode_aux.is_bitmanip_reg <= '0';
823 68 zero_gravi
    decode_aux.rs1_zero        <= '0';
824
    decode_aux.rs2_zero        <= '0';
825
    decode_aux.rd_zero         <= '0';
826 44 zero_gravi
 
827
    -- is atomic load-reservate/store-conditional? --
828 68 zero_gravi
    if (CPU_EXTENSION_RISCV_A = true) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') then -- valid atomic sub-opcode
829 44 zero_gravi
      decode_aux.is_atomic_lr <= not execute_engine.i_reg(instr_funct5_lsb_c);
830
      decode_aux.is_atomic_sc <=     execute_engine.i_reg(instr_funct5_lsb_c);
831
    end if;
832
 
833 63 zero_gravi
    -- is BITMANIP instruction? --
834
    -- pretty complex as we have to extract this from the ALU/ALUI instruction space --
835
    -- immediate operation --
836
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001") and
837
         (
838
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00000") or -- CLZ
839
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00001") or -- CTZ
840
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00010") or -- CPOP
841
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00100") or -- SEXT.B
842
          (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00101")    -- SEXT.H
843
         )
844
       ) or
845
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101")) or -- RORI
846
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "00111")) or -- ORCB
847
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "101") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c) = "11000")) then -- REV8
848 68 zero_gravi
      decode_aux.is_bitmanip_imm <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B); -- BITMANIP implemented at all?
849 63 zero_gravi
    end if;
850
    -- register operation --
851
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0110000") and (execute_engine.i_reg(instr_funct3_msb_c-1 downto instr_funct3_lsb_c) = "01")) or -- ROR / ROL
852
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000101") and (execute_engine.i_reg(instr_funct3_msb_c) = '1')) or -- MIN[U] / MAX[U]
853
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")) or -- ZEXTH
854
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0100000") and
855
        (
856
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "111") or -- ANDN
857
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110") or -- ORN
858
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100")    -- XORN
859
        )
860 66 zero_gravi
       ) or
861
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0010000") and
862
        (
863
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "010") or -- SH1ADD
864
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "100") or -- SH2ADD
865
         (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "110")    -- SH3ADD
866
        )
867 63 zero_gravi
       ) then
868 68 zero_gravi
      decode_aux.is_bitmanip_reg <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B); -- BITMANIP implemented at all?
869 63 zero_gravi
    end if;
870
 
871 53 zero_gravi
    -- floating-point operations (Zfinx) --
872
    if ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+3) = "0000")) or -- FADD.S / FSUB.S
873 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00010")) or -- FMUL.S
874 53 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11100") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "001")) or -- FCLASS.S
875 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FSGNJ[N/X].S
876
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "00101") and (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_msb_c-1) = "00")) or -- FMIN.S / FMAX.S
877
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "10100") and (execute_engine.i_reg(instr_funct3_msb_c) = '0')) or -- FEQ.S / FLT.S / FLE.S
878 53 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11010") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) or -- FCVT.S.W*
879 52 zero_gravi
       ((execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c+2) = "11000") and (execute_engine.i_reg(instr_funct12_lsb_c+4 downto instr_funct12_lsb_c+1) = "0000")) then -- FCVT.W*.S
880 68 zero_gravi
      decode_aux.is_float_op <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx); -- FPU implemented at all?
881 52 zero_gravi
    end if;
882
 
883 49 zero_gravi
    -- system/environment instructions --
884 59 zero_gravi
    sys_env_cmd_mask_v := funct12_ecall_c or funct12_ebreak_c or funct12_mret_c or funct12_wfi_c or funct12_dret_c; -- sum-up set bits
885 60 zero_gravi
    decode_aux.sys_env_cmd <= execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) and sys_env_cmd_mask_v; -- set unused bits to always-zero
886 61 zero_gravi
 
887
    -- integer MUL (M/Zmmul) / DIV (M) operation --
888
    if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5)) and
889
       (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000001") then
890 68 zero_gravi
      decode_aux.is_m_mul <= (not execute_engine.i_reg(instr_funct3_msb_c)) and (bool_to_ulogic_f(CPU_EXTENSION_RISCV_M) or bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zmmul));
891
      decode_aux.is_m_div <= execute_engine.i_reg(instr_funct3_msb_c) and bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);
892 61 zero_gravi
    end if;
893 68 zero_gravi
 
894
    -- register address checks --
895
    decode_aux.rs1_zero <= not or_reduce_f(execute_engine.i_reg(instr_rs1_msb_c downto instr_rs1_lsb_c));
896
    decode_aux.rs2_zero <= not or_reduce_f(execute_engine.i_reg(instr_rs2_msb_c downto instr_rs2_lsb_c));
897
    decode_aux.rd_zero  <= not or_reduce_f(execute_engine.i_reg(instr_rd_msb_c  downto instr_rd_lsb_c));
898 44 zero_gravi
  end process decode_helper;
899
 
900
 
901 6 zero_gravi
  -- Execute Engine FSM Comb ----------------------------------------------------------------
902
  -- -------------------------------------------------------------------------------------------
903 61 zero_gravi
  execute_engine_fsm_comb: process(execute_engine, debug_ctrl, trap_ctrl, decode_aux, fetch_engine, cmd_issue,
904 65 zero_gravi
                                   csr, ctrl, alu_idone_i, bus_d_wait_i, excl_state_i)
905 44 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
906 2 zero_gravi
  begin
907
    -- arbiter defaults --
908 29 zero_gravi
    execute_engine.state_nxt    <= execute_engine.state;
909
    execute_engine.i_reg_nxt    <= execute_engine.i_reg;
910
    execute_engine.is_ci_nxt    <= execute_engine.is_ci;
911 66 zero_gravi
    execute_engine.is_ici_nxt   <= '0';
912 29 zero_gravi
    execute_engine.sleep_nxt    <= execute_engine.sleep;
913 49 zero_gravi
    execute_engine.branched_nxt <= execute_engine.branched;
914 39 zero_gravi
    --
915 49 zero_gravi
    execute_engine.pc_mux_sel   <= '0';
916 39 zero_gravi
    execute_engine.pc_we        <= '0';
917 2 zero_gravi
 
918 6 zero_gravi
    -- instruction dispatch --
919 37 zero_gravi
    fetch_engine.reset          <= '0';
920 2 zero_gravi
 
921 6 zero_gravi
    -- trap environment control --
922 37 zero_gravi
    trap_ctrl.env_start_ack     <= '0';
923
    trap_ctrl.env_end           <= '0';
924 6 zero_gravi
 
925 59 zero_gravi
    -- leave debug mode --
926
    debug_ctrl.dret             <= '0';
927
 
928 2 zero_gravi
    -- exception trigger --
929 37 zero_gravi
    trap_ctrl.instr_be          <= '0';
930
    trap_ctrl.instr_ma          <= '0';
931
    trap_ctrl.env_call          <= '0';
932
    trap_ctrl.break_point       <= '0';
933 2 zero_gravi
 
934 6 zero_gravi
    -- CSR access --
935 37 zero_gravi
    csr.we_nxt                  <= '0';
936 6 zero_gravi
 
937 39 zero_gravi
    -- CONTROL DEFAULTS --
938 36 zero_gravi
    ctrl_nxt <= (others => '0'); -- default: all off
939 47 zero_gravi
    -- ALU main control --
940 68 zero_gravi
    ctrl_nxt(ctrl_alu_op2_c   downto ctrl_alu_op0_c)   <= alu_op_add_c;    -- default ALU operation: ADD
941
    ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_core_c; -- default ALU operation: ADD
942 47 zero_gravi
    -- ALU sign control --
943 6 zero_gravi
    if (execute_engine.i_reg(instr_opcode_lsb_c+4) = '1') then -- ALU ops
944 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+0); -- unsigned ALU operation? (SLTIU, SLTU)
945 2 zero_gravi
    else -- branches
946 36 zero_gravi
      ctrl_nxt(ctrl_alu_unsigned_c) <= execute_engine.i_reg(instr_funct3_lsb_c+1); -- unsigned branches? (BLTU, BGEU)
947 2 zero_gravi
    end if;
948 68 zero_gravi
    -- atomic store-conditional instruction (evaluate lock status) --
949
    ctrl_nxt(ctrl_bus_ch_lock_c) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A) and decode_aux.is_atomic_sc;
950 2 zero_gravi
 
951
 
952 6 zero_gravi
    -- state machine --
953
    case execute_engine.state is
954 2 zero_gravi
 
955 62 zero_gravi
      when SYS_WAIT => -- System delay cycle (to let side effects kick in)
956 2 zero_gravi
      -- ------------------------------------------------------------
957 6 zero_gravi
        execute_engine.state_nxt <= DISPATCH;
958 2 zero_gravi
 
959 39 zero_gravi
 
960 37 zero_gravi
      when DISPATCH => -- Get new command from instruction issue engine
961 25 zero_gravi
      -- ------------------------------------------------------------
962 49 zero_gravi
        -- PC update --
963
        execute_engine.pc_mux_sel <= '0'; -- linear next PC
964 40 zero_gravi
        -- IR update --
965 49 zero_gravi
        execute_engine.is_ci_nxt <= cmd_issue.data(32); -- flag to indicate a de-compressed instruction
966
        execute_engine.i_reg_nxt <= cmd_issue.data(31 downto 0);
967 40 zero_gravi
        --
968 37 zero_gravi
        if (cmd_issue.valid = '1') then -- instruction available?
969 49 zero_gravi
          -- PC update --
970
          execute_engine.branched_nxt <= '0';
971
          execute_engine.pc_we        <= not execute_engine.branched; -- update PC with linear next_pc if there was no actual branch
972 40 zero_gravi
          -- IR update - exceptions --
973 68 zero_gravi
          trap_ctrl.instr_ma        <= cmd_issue.data(33) and (not bool_to_ulogic_f(CPU_EXTENSION_RISCV_C)); -- misaligned instruction fetch address, if C disabled
974 66 zero_gravi
          trap_ctrl.instr_be        <= cmd_issue.data(34); -- bus access fault during instruction fetch
975
          execute_engine.is_ici_nxt <= cmd_issue.data(35); -- invalid decompressed instruction
976 40 zero_gravi
          -- any reason to go to trap state? --
977 68 zero_gravi
          if (execute_engine.sleep = '1') or -- enter sleep state
978 66 zero_gravi
             (trap_ctrl.exc_fire = '1') or -- exception during LAST instruction (illegal instruction)
979 61 zero_gravi
             (trap_ctrl.env_start = '1') or -- pending trap (IRQ or exception)
980 68 zero_gravi
             ((cmd_issue.data(33) = '1') and (CPU_EXTENSION_RISCV_C = false)) or -- misaligned instruction fetch address, if C disabled
981
             (cmd_issue.data(34) = '1') then -- bus access fault during instruction fetch
982 49 zero_gravi
            execute_engine.state_nxt <= TRAP_ENTER;
983 13 zero_gravi
          else
984 14 zero_gravi
            execute_engine.state_nxt <= EXECUTE;
985 13 zero_gravi
          end if;
986
        end if;
987 2 zero_gravi
 
988 39 zero_gravi
 
989 63 zero_gravi
      when TRAP_ENTER => -- Start trap environment - get xTVEC, stay here for sleep mode
990 2 zero_gravi
      -- ------------------------------------------------------------
991 34 zero_gravi
        if (trap_ctrl.env_start = '1') then -- trap triggered?
992 61 zero_gravi
          trap_ctrl.env_start_ack  <= '1';
993
          execute_engine.state_nxt <= TRAP_EXECUTE;
994 2 zero_gravi
        end if;
995
 
996 68 zero_gravi
 
997 63 zero_gravi
      when TRAP_EXIT => -- Return from trap environment - get xEPC
998 49 zero_gravi
      -- ------------------------------------------------------------
999
        trap_ctrl.env_end        <= '1';
1000
        execute_engine.state_nxt <= TRAP_EXECUTE;
1001 39 zero_gravi
 
1002 68 zero_gravi
 
1003
      when TRAP_EXECUTE => -- Process trap environment -> jump to xTVEC / return from trap environment -> jump to xEPC
1004 49 zero_gravi
      -- ------------------------------------------------------------
1005 60 zero_gravi
        execute_engine.pc_mux_sel <= '0'; -- next_PC
1006 49 zero_gravi
        fetch_engine.reset        <= '1';
1007
        execute_engine.pc_we      <= '1';
1008
        execute_engine.sleep_nxt  <= '0'; -- disable sleep mode
1009
        execute_engine.state_nxt  <= SYS_WAIT;
1010
 
1011
 
1012 60 zero_gravi
      when EXECUTE => -- Decode and execute instruction (control has to be here for exactly 1 cycle in any case!)
1013 2 zero_gravi
      -- ------------------------------------------------------------
1014 36 zero_gravi
        opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
1015
        case opcode_v is
1016 2 zero_gravi
 
1017 60 zero_gravi
          when opcode_alu_c | opcode_alui_c => -- (register/immediate) ALU operation
1018 2 zero_gravi
          -- ------------------------------------------------------------
1019 68 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= not execute_engine.i_reg(instr_opcode_msb_c-1); -- use IMM as ALU.OPB for immediate operations
1020 25 zero_gravi
 
1021 68 zero_gravi
            -- ALU core operation --
1022 39 zero_gravi
            case execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) is -- actual ALU.logic operation (re-coding)
1023 68 zero_gravi
              when funct3_subadd_c => -- ADD(I)/SUB
1024
                if ((execute_engine.i_reg(instr_opcode_msb_c-1) = '1') and (execute_engine.i_reg(instr_funct7_msb_c-1) = '1')) then -- not an immediate op and funct7.6 set => SUB
1025
                  ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_sub_c;
1026
                else
1027
                  ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_add_c;
1028
                end if;
1029
              when funct3_slt_c | funct3_sltu_c => -- SLT(I), SLTU(I)
1030
                ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_slt_c;
1031
              when funct3_xor_c => -- XOR(I)
1032
                ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_xor_c;
1033
              when funct3_or_c => -- OR(I)
1034
                ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_or_c;
1035
              when others => -- AND(I), multi-cycle / co-processor operations
1036
                ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_and_c;
1037 39 zero_gravi
            end case;
1038
 
1039 69 zero_gravi
            -- co-processor MULDIV operation (multi-cycle)? --
1040 61 zero_gravi
            if ((CPU_EXTENSION_RISCV_M = true) and ((decode_aux.is_m_mul = '1') or (decode_aux.is_m_div = '1'))) or -- MUL/DIV
1041
               ((CPU_EXTENSION_RISCV_Zmmul = true) and (decode_aux.is_m_mul = '1')) then -- MUL
1042 44 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_muldiv_c; -- use MULDIV CP
1043 68 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1044
              execute_engine.state_nxt                           <= ALU_WAIT;
1045 69 zero_gravi
            -- co-processor BIT-MANIPULATION operation (multi-cycle)? --
1046 66 zero_gravi
            elsif (CPU_EXTENSION_RISCV_B = true) and
1047 68 zero_gravi
                  (((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alu_c(5))  and (decode_aux.is_bitmanip_reg = '1')) or -- register operation
1048
                   ((execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_alui_c(5)) and (decode_aux.is_bitmanip_imm = '1'))) then -- immediate operation
1049 63 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_bitmanip_c; -- use BITMANIP CP
1050 68 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1051
              execute_engine.state_nxt                           <= ALU_WAIT;
1052 69 zero_gravi
            -- co-processor SHIFT operation (multi-cycle)? --
1053 68 zero_gravi
            elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or
1054
                  (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) then
1055
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_shifter_c; -- use SHIFTER CP (only relevant for shift operations)
1056
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1057
              execute_engine.state_nxt                           <= ALU_WAIT;
1058 69 zero_gravi
            -- ALU CORE operation (single-cycle) --
1059 61 zero_gravi
            else
1060 68 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_core_c;
1061
              ctrl_nxt(ctrl_rf_wb_en_c)                          <= '1'; -- valid RF write-back
1062
              execute_engine.state_nxt                           <= DISPATCH;
1063 39 zero_gravi
            end if;
1064
 
1065 2 zero_gravi
 
1066 25 zero_gravi
          when opcode_lui_c | opcode_auipc_c => -- load upper immediate / add upper immediate to PC
1067 2 zero_gravi
          -- ------------------------------------------------------------
1068 27 zero_gravi
            ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- ALU.OPA = PC (for AUIPC only)
1069
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
1070 25 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+5) = opcode_lui_c(5)) then -- LUI
1071 68 zero_gravi
              ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_movb_c; -- actual ALU operation = MOVB
1072 27 zero_gravi
            else -- AUIPC
1073 68 zero_gravi
              ctrl_nxt(ctrl_alu_op2_c downto ctrl_alu_op0_c) <= alu_op_add_c; -- actual ALU operation = ADD
1074 2 zero_gravi
            end if;
1075 68 zero_gravi
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1076
            execute_engine.state_nxt  <= DISPATCH;
1077 2 zero_gravi
 
1078 68 zero_gravi
 
1079 53 zero_gravi
          when opcode_load_c | opcode_store_c | opcode_atomic_c => -- load/store / atomic memory access
1080 2 zero_gravi
          -- ------------------------------------------------------------
1081 66 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB
1082
            ctrl_nxt(ctrl_bus_mo_we_c)   <= '1'; -- write to MAR and MDO (MDO only relevant for store)
1083 68 zero_gravi
            execute_engine.state_nxt     <= LOADSTORE_0;
1084 2 zero_gravi
 
1085 68 zero_gravi
 
1086 29 zero_gravi
          when opcode_branch_c | opcode_jal_c | opcode_jalr_c => -- branch / jump and link (with register)
1087 2 zero_gravi
          -- ------------------------------------------------------------
1088 29 zero_gravi
            if (execute_engine.i_reg(instr_opcode_lsb_c+3 downto instr_opcode_lsb_c+2) = opcode_jalr_c(3 downto 2)) then -- JALR
1089
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '0'; -- use RS1 as ALU.OPA (branch target address base)
1090 49 zero_gravi
            else -- JAL
1091 29 zero_gravi
              ctrl_nxt(ctrl_alu_opa_mux_c) <= '1'; -- use PC as ALU.OPA (branch target address base)
1092 2 zero_gravi
            end if;
1093 29 zero_gravi
            ctrl_nxt(ctrl_alu_opb_mux_c) <= '1'; -- use IMM as ALU.OPB (branch target address offset)
1094 49 zero_gravi
            execute_engine.state_nxt     <= BRANCH;
1095 2 zero_gravi
 
1096 68 zero_gravi
 
1097 8 zero_gravi
          when opcode_fence_c => -- fence operations
1098
          -- ------------------------------------------------------------
1099 68 zero_gravi
            if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1100
              ctrl_nxt(ctrl_bus_fence_c)  <= '1';
1101
              execute_engine.state_nxt    <= SYS_WAIT;
1102
            elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true)  then -- FENCE.I
1103
              ctrl_nxt(ctrl_bus_fencei_c) <= '1';
1104
              execute_engine.branched_nxt <= '1'; -- this is an actual branch
1105
              execute_engine.state_nxt    <= TRAP_EXECUTE; -- use TRAP_EXECUTE to "modify" PC (PC <= PC)
1106
            else -- illegal fence instruction
1107
              execute_engine.state_nxt    <= SYS_WAIT;
1108 66 zero_gravi
            end if;
1109 8 zero_gravi
 
1110 68 zero_gravi
 
1111 2 zero_gravi
          when opcode_syscsr_c => -- system/csr access
1112
          -- ------------------------------------------------------------
1113 45 zero_gravi
            if (CPU_EXTENSION_RISCV_Zicsr = true) then
1114
              if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_env_c) then -- system/environment
1115
                execute_engine.state_nxt <= SYS_ENV;
1116
              else -- CSR access
1117
                execute_engine.state_nxt <= CSR_ACCESS;
1118
              end if;
1119
            else
1120
              execute_engine.state_nxt <= SYS_WAIT;
1121 2 zero_gravi
            end if;
1122
 
1123 68 zero_gravi
 
1124 53 zero_gravi
          when opcode_fop_c => -- floating-point operations
1125 52 zero_gravi
          -- ------------------------------------------------------------
1126 68 zero_gravi
            if (CPU_EXTENSION_RISCV_Zfinx = true) then
1127 61 zero_gravi
              ctrl_nxt(ctrl_cp_id_msb_c downto ctrl_cp_id_lsb_c) <= cp_sel_fpu_c; -- trigger FPU CP
1128 68 zero_gravi
              ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1129
              execute_engine.state_nxt <= ALU_WAIT;
1130 53 zero_gravi
            else
1131
              execute_engine.state_nxt <= SYS_WAIT;
1132 52 zero_gravi
            end if;
1133
 
1134 68 zero_gravi
 
1135
          when others => -- illegal opcode
1136 2 zero_gravi
          -- ------------------------------------------------------------
1137 39 zero_gravi
            execute_engine.state_nxt <= SYS_WAIT;
1138 2 zero_gravi
 
1139
        end case;
1140
 
1141 39 zero_gravi
 
1142
      when SYS_ENV => -- system environment operation - execution
1143 2 zero_gravi
      -- ------------------------------------------------------------
1144 62 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT; -- default
1145 68 zero_gravi
        if (trap_ctrl.exc_buf(exception_iillegal_c) = '0') then -- no illegal instruction
1146
          case decode_aux.sys_env_cmd is -- use a simplified input here (with hardwired zeros)
1147
            when funct12_ecall_c  => trap_ctrl.env_call       <= '1'; -- ECALL
1148
            when funct12_ebreak_c => trap_ctrl.break_point    <= '1'; -- EBREAK
1149
            when funct12_mret_c   => execute_engine.state_nxt <= TRAP_EXIT; -- MRET
1150 69 zero_gravi
            when funct12_dret_c   => -- DRET
1151 68 zero_gravi
              if (CPU_EXTENSION_RISCV_DEBUG = true) then
1152
                execute_engine.state_nxt <= TRAP_EXIT;
1153
                debug_ctrl.dret <= '1';
1154
              else
1155
                NULL; -- executed as NOP (and raise illegal instruction exception)
1156
              end if;
1157
            when funct12_wfi_c => -- WFI
1158
              if (CPU_EXTENSION_RISCV_DEBUG = true) and
1159
                ((debug_ctrl.running = '1') or (csr.dcsr_step = '1')) then -- act as NOP when in debug-mode or during single-stepping
1160
                NULL; -- executed as NOP
1161
              else
1162
                execute_engine.sleep_nxt <= '1'; -- go to sleep mode
1163
              end if;
1164
            when others => NULL; -- undefined / execute as NOP
1165
          end case;
1166
        end if;
1167 39 zero_gravi
 
1168
 
1169
      when CSR_ACCESS => -- read & write status and control register (CSR)
1170
      -- ------------------------------------------------------------
1171 27 zero_gravi
        -- CSR write access --
1172 68 zero_gravi
        if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1173
           (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then -- CSRRW(I)
1174
          csr.we_nxt <= '1'; -- always write CSR
1175 69 zero_gravi
        else -- CSRRS(I) / CSRRC(I) [invalid CSR instructions are already checked by the illegal instruction logic]
1176 68 zero_gravi
          csr.we_nxt <= not decode_aux.rs1_zero; -- write CSR if rs1/imm is not zero
1177
        end if;
1178 27 zero_gravi
        -- register file write back --
1179 68 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_csrr_c;
1180
        ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1181
        execute_engine.state_nxt  <= DISPATCH;
1182 2 zero_gravi
 
1183 39 zero_gravi
 
1184 61 zero_gravi
      when ALU_WAIT => -- wait for multi-cycle ALU operation (co-processor) to finish
1185 2 zero_gravi
      -- ------------------------------------------------------------
1186 68 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_copro_c;
1187
        if (alu_idone_i = '1') or (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then -- completed or exception
1188 56 zero_gravi
          ctrl_nxt(ctrl_rf_wb_en_c) <= '1'; -- valid RF write-back
1189
          execute_engine.state_nxt  <= DISPATCH;
1190 2 zero_gravi
        end if;
1191
 
1192 39 zero_gravi
 
1193 6 zero_gravi
      when BRANCH => -- update PC for taken branches and jumps
1194
      -- ------------------------------------------------------------
1195 39 zero_gravi
        -- get and store return address (only relevant for jump-and-link operations) --
1196 68 zero_gravi
        ctrl_nxt(ctrl_alu_func1_c downto ctrl_alu_func0_c) <= alu_func_nxpc_c; -- next PC
1197
        ctrl_nxt(ctrl_rf_wb_en_c) <= execute_engine.i_reg(instr_opcode_lsb_c+2); -- valid RF write-back? (is jump-and-link?)
1198 39 zero_gravi
        -- destination address --
1199 68 zero_gravi
        execute_engine.pc_mux_sel <= '1'; -- PC <= alu.add = branch/jump destination
1200 40 zero_gravi
        if (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') or (execute_engine.branch_taken = '1') then -- JAL/JALR or taken branch
1201 66 zero_gravi
          -- no need to check for illegal instructions here; the branch condition evaluation circuit will not set "branch_taken" if funct3 is invalid
1202 49 zero_gravi
          execute_engine.pc_we        <= '1'; -- update PC
1203
          execute_engine.branched_nxt <= '1'; -- this is an actual branch
1204
          fetch_engine.reset          <= '1'; -- trigger new instruction fetch from modified PC
1205
          execute_engine.state_nxt    <= SYS_WAIT;
1206 11 zero_gravi
        else
1207
          execute_engine.state_nxt <= DISPATCH;
1208 6 zero_gravi
        end if;
1209
 
1210 39 zero_gravi
 
1211 12 zero_gravi
      when LOADSTORE_0 => -- trigger memory request
1212 6 zero_gravi
      -- ------------------------------------------------------------
1213 57 zero_gravi
        ctrl_nxt(ctrl_bus_lock_c) <= decode_aux.is_atomic_lr; -- atomic.LR: set lock
1214 44 zero_gravi
        if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or (decode_aux.is_atomic_lr = '1') then -- normal load or atomic load-reservate
1215 66 zero_gravi
          ctrl_nxt(ctrl_bus_rd_c) <= '1'; -- read request
1216 39 zero_gravi
        else -- store
1217 68 zero_gravi
          if (decode_aux.is_atomic_sc = '0') or (CPU_EXTENSION_RISCV_A = false) then -- (normal) write request
1218
            ctrl_nxt(ctrl_bus_wr_c) <= '1';
1219
          else -- evaluate lock state
1220
            ctrl_nxt(ctrl_bus_wr_c) <= excl_state_i; -- write request if lock is still ok
1221 57 zero_gravi
          end if;
1222 12 zero_gravi
        end if;
1223
        execute_engine.state_nxt <= LOADSTORE_1;
1224 6 zero_gravi
 
1225 39 zero_gravi
 
1226 61 zero_gravi
      when LOADSTORE_1 => -- memory access latency
1227 6 zero_gravi
      -- ------------------------------------------------------------
1228 61 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- write input data to MDI (only relevant for LOADs)
1229 57 zero_gravi
        execute_engine.state_nxt   <= LOADSTORE_2;
1230 6 zero_gravi
 
1231 39 zero_gravi
 
1232 12 zero_gravi
      when LOADSTORE_2 => -- wait for bus transaction to finish
1233 6 zero_gravi
      -- ------------------------------------------------------------
1234 57 zero_gravi
        ctrl_nxt(ctrl_bus_mi_we_c) <= '1'; -- keep writing input data to MDI (only relevant for load (and SC.W) operations)
1235 53 zero_gravi
        ctrl_nxt(ctrl_rf_in_mux_c) <= '1'; -- RF input = memory input (only relevant for LOADs)
1236 68 zero_gravi
        -- wait for memory response --
1237
        if (trap_ctrl.env_start = '1') and (trap_ctrl.cause(6 downto 5) = "00") then -- abort if SYNC EXCEPTION (from bus or illegal cmd) / no IRQs and NOT DEBUG-MODE-related
1238
          execute_engine.state_nxt <= DISPATCH;
1239 26 zero_gravi
        elsif (bus_d_wait_i = '0') then -- wait for bus to finish transaction
1240 57 zero_gravi
          -- data write-back --
1241
          if (execute_engine.i_reg(instr_opcode_msb_c-1) = '0') or -- normal load
1242
             (decode_aux.is_atomic_lr = '1') or -- atomic load-reservate
1243
             (decode_aux.is_atomic_sc = '1') then -- atomic store-conditional
1244 53 zero_gravi
            ctrl_nxt(ctrl_rf_wb_en_c) <= '1';
1245 6 zero_gravi
          end if;
1246 61 zero_gravi
          -- remove atomic lock if this is NOT the LR.W instruction used to SET the lock --
1247
          if (decode_aux.is_atomic_lr = '0') then -- execute and evaluate atomic store-conditional
1248
            ctrl_nxt(ctrl_bus_de_lock_c) <= '1';
1249
          end if;
1250 6 zero_gravi
          execute_engine.state_nxt <= DISPATCH;
1251
        end if;
1252
 
1253 39 zero_gravi
 
1254 2 zero_gravi
      when others => -- undefined
1255
      -- ------------------------------------------------------------
1256 7 zero_gravi
        execute_engine.state_nxt <= SYS_WAIT;
1257 2 zero_gravi
 
1258
    end case;
1259 6 zero_gravi
  end process execute_engine_fsm_comb;
1260 2 zero_gravi
 
1261
 
1262 15 zero_gravi
-- ****************************************************************************************************************************
1263
-- Invalid Instruction / CSR access check
1264
-- ****************************************************************************************************************************
1265
 
1266 49 zero_gravi
  -- CSR Access Check -----------------------------------------------------------------------
1267 15 zero_gravi
  -- -------------------------------------------------------------------------------------------
1268 68 zero_gravi
  csr_access_check: process(execute_engine.i_reg, decode_aux, csr, debug_ctrl)
1269
    variable csr_wacc_v : std_ulogic; -- actual CSR write
1270
--  variable csr_racc_v : std_ulogic; -- actual CSR read
1271 15 zero_gravi
  begin
1272 58 zero_gravi
    -- is this CSR instruction really going to write to a CSR? --
1273 30 zero_gravi
    if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1274
       (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) then
1275
      csr_wacc_v := '1'; -- always write CSR
1276 68 zero_gravi
--    csr_racc_v := or_reduce_f(execute_engine.i_reg(instr_rd_msb_c downto instr_rd_lsb_c)); -- read if rd != 0
1277 58 zero_gravi
    else -- clear/set
1278 68 zero_gravi
      csr_wacc_v := not decode_aux.rs1_zero; -- write if rs1/uimm5 != 0
1279
--    csr_racc_v := '1'; -- always read CSR
1280 30 zero_gravi
    end if;
1281
 
1282 15 zero_gravi
    -- check CSR access --
1283 41 zero_gravi
    case csr.addr is
1284 56 zero_gravi
 
1285 58 zero_gravi
      -- floating-point CSRs --
1286 56 zero_gravi
      when csr_fflags_c | csr_frm_c | csr_fcsr_c =>
1287 65 zero_gravi
        csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zfinx); -- full access for everyone if FPU implemented
1288 56 zero_gravi
 
1289 68 zero_gravi
      -- machine trap setup/handling & counters --
1290 65 zero_gravi
      when csr_mstatus_c | csr_mstatush_c | csr_misa_c | csr_mie_c | csr_mtvec_c | csr_mscratch_c | csr_mepc_c | csr_mcause_c | csr_mip_c | csr_mtval_c |
1291
           csr_mcycle_c | csr_mcycleh_c | csr_minstret_c | csr_minstreth_c | csr_mcountinhibit_c =>
1292 69 zero_gravi
        -- NOTE: MISA and MTVAL are read-only in the NEORV32 but we do not cause an exception here for compatibility.
1293 65 zero_gravi
        -- Machine-level code should read-back those CSRs after writing them to realize they are read-only.
1294 64 zero_gravi
        csr_acc_valid <= csr.priv_m_mode; -- M-mode only 
1295 56 zero_gravi
 
1296 65 zero_gravi
      -- machine information registers, read-only --
1297
      when csr_mvendorid_c | csr_marchid_c | csr_mimpid_c | csr_mhartid_c | csr_mconfigptr_c =>
1298
        csr_acc_valid <= (not csr_wacc_v) and csr.priv_m_mode; -- M-mode only, read-only
1299
 
1300 68 zero_gravi
      -- user-mode registers --
1301
      when csr_mcounteren_c | csr_menvcfg_c | csr_menvcfgh_c =>
1302 64 zero_gravi
        csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);
1303
 
1304 63 zero_gravi
      -- physical memory protection (PMP) --
1305
      when csr_pmpaddr0_c  | csr_pmpaddr1_c  | csr_pmpaddr2_c  | csr_pmpaddr3_c  | csr_pmpaddr4_c  | csr_pmpaddr5_c  | csr_pmpaddr6_c  | csr_pmpaddr7_c  | -- address
1306 42 zero_gravi
           csr_pmpaddr8_c  | csr_pmpaddr9_c  | csr_pmpaddr10_c | csr_pmpaddr11_c | csr_pmpaddr12_c | csr_pmpaddr13_c | csr_pmpaddr14_c | csr_pmpaddr15_c |
1307
           csr_pmpaddr16_c | csr_pmpaddr17_c | csr_pmpaddr18_c | csr_pmpaddr19_c | csr_pmpaddr20_c | csr_pmpaddr21_c | csr_pmpaddr22_c | csr_pmpaddr23_c |
1308
           csr_pmpaddr24_c | csr_pmpaddr25_c | csr_pmpaddr26_c | csr_pmpaddr27_c | csr_pmpaddr28_c | csr_pmpaddr29_c | csr_pmpaddr30_c | csr_pmpaddr31_c |
1309
           csr_pmpaddr32_c | csr_pmpaddr33_c | csr_pmpaddr34_c | csr_pmpaddr35_c | csr_pmpaddr36_c | csr_pmpaddr37_c | csr_pmpaddr38_c | csr_pmpaddr39_c |
1310
           csr_pmpaddr40_c | csr_pmpaddr41_c | csr_pmpaddr42_c | csr_pmpaddr43_c | csr_pmpaddr44_c | csr_pmpaddr45_c | csr_pmpaddr46_c | csr_pmpaddr47_c |
1311
           csr_pmpaddr48_c | csr_pmpaddr49_c | csr_pmpaddr50_c | csr_pmpaddr51_c | csr_pmpaddr52_c | csr_pmpaddr53_c | csr_pmpaddr54_c | csr_pmpaddr55_c |
1312 60 zero_gravi
           csr_pmpaddr56_c | csr_pmpaddr57_c | csr_pmpaddr58_c | csr_pmpaddr59_c | csr_pmpaddr60_c | csr_pmpaddr61_c | csr_pmpaddr62_c | csr_pmpaddr63_c |
1313 63 zero_gravi
           csr_pmpcfg0_c   | csr_pmpcfg1_c   | csr_pmpcfg2_c   | csr_pmpcfg3_c   | csr_pmpcfg4_c   | csr_pmpcfg5_c   | csr_pmpcfg6_c   | csr_pmpcfg7_c   | -- configuration
1314 61 zero_gravi
           csr_pmpcfg8_c   | csr_pmpcfg9_c   | csr_pmpcfg10_c  | csr_pmpcfg11_c  | csr_pmpcfg12_c  | csr_pmpcfg13_c  | csr_pmpcfg14_c  | csr_pmpcfg15_c =>
1315 65 zero_gravi
        csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(boolean(PMP_NUM_REGIONS > 0)); -- M-mode only
1316 56 zero_gravi
 
1317 61 zero_gravi
      -- hardware performance monitors (HPM) --
1318
      when csr_mhpmcounter3_c   | csr_mhpmcounter4_c   | csr_mhpmcounter5_c   | csr_mhpmcounter6_c   | csr_mhpmcounter7_c   | csr_mhpmcounter8_c   | -- counter LOW
1319 56 zero_gravi
           csr_mhpmcounter9_c   | csr_mhpmcounter10_c  | csr_mhpmcounter11_c  | csr_mhpmcounter12_c  | csr_mhpmcounter13_c  | csr_mhpmcounter14_c  |
1320
           csr_mhpmcounter15_c  | csr_mhpmcounter16_c  | csr_mhpmcounter17_c  | csr_mhpmcounter18_c  | csr_mhpmcounter19_c  | csr_mhpmcounter20_c  |
1321
           csr_mhpmcounter21_c  | csr_mhpmcounter22_c  | csr_mhpmcounter23_c  | csr_mhpmcounter24_c  | csr_mhpmcounter25_c  | csr_mhpmcounter26_c  |
1322
           csr_mhpmcounter27_c  | csr_mhpmcounter28_c  | csr_mhpmcounter29_c  | csr_mhpmcounter30_c  | csr_mhpmcounter31_c  |
1323 61 zero_gravi
           csr_mhpmcounter3h_c  | csr_mhpmcounter4h_c  | csr_mhpmcounter5h_c  | csr_mhpmcounter6h_c  | csr_mhpmcounter7h_c  | csr_mhpmcounter8h_c  | -- counter HIGH
1324 56 zero_gravi
           csr_mhpmcounter9h_c  | csr_mhpmcounter10h_c | csr_mhpmcounter11h_c | csr_mhpmcounter12h_c | csr_mhpmcounter13h_c | csr_mhpmcounter14h_c |
1325
           csr_mhpmcounter15h_c | csr_mhpmcounter16h_c | csr_mhpmcounter17h_c | csr_mhpmcounter18h_c | csr_mhpmcounter19h_c | csr_mhpmcounter20h_c |
1326
           csr_mhpmcounter21h_c | csr_mhpmcounter22h_c | csr_mhpmcounter23h_c | csr_mhpmcounter24h_c | csr_mhpmcounter25h_c | csr_mhpmcounter26h_c |
1327 61 zero_gravi
           csr_mhpmcounter27h_c | csr_mhpmcounter28h_c | csr_mhpmcounter29h_c | csr_mhpmcounter30h_c | csr_mhpmcounter31h_c |
1328
           csr_mhpmevent3_c     | csr_mhpmevent4_c     | csr_mhpmevent5_c     | csr_mhpmevent6_c     | csr_mhpmevent7_c     | csr_mhpmevent8_c     | -- event configuration
1329
           csr_mhpmevent9_c     | csr_mhpmevent10_c    | csr_mhpmevent11_c    | csr_mhpmevent12_c    | csr_mhpmevent13_c    | csr_mhpmevent14_c    |
1330
           csr_mhpmevent15_c    | csr_mhpmevent16_c    | csr_mhpmevent17_c    | csr_mhpmevent18_c    | csr_mhpmevent19_c    | csr_mhpmevent20_c    |
1331
           csr_mhpmevent21_c    | csr_mhpmevent22_c    | csr_mhpmevent23_c    | csr_mhpmevent24_c    | csr_mhpmevent25_c    | csr_mhpmevent26_c    |
1332
           csr_mhpmevent27_c    | csr_mhpmevent28_c    | csr_mhpmevent29_c    | csr_mhpmevent30_c    | csr_mhpmevent31_c =>
1333 66 zero_gravi
        csr_acc_valid <= csr.priv_m_mode and bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zihpm); -- M-mode only
1334 56 zero_gravi
 
1335 68 zero_gravi
      -- user-level counters/timers (read-only) --
1336 65 zero_gravi
      when csr_cycle_c | csr_cycleh_c | csr_instret_c | csr_instreth_c | csr_time_c | csr_timeh_c =>
1337
        case csr.addr(1 downto 0) is
1338 66 zero_gravi
          when "00"   => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr) and (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_cy); -- cyle[h]: M-mode, U-mode if authorized, implemented at all, read-only
1339
          when "01"   => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr) and (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_tm); -- time[h]: M-mode, U-mode if authorized, implemented at all, read-only
1340
          when "10"   => csr_acc_valid <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_Zicntr) and (not csr_wacc_v) and (csr.priv_m_mode or csr.mcounteren_ir); -- instret[h]: M-mode, U-mode if authorized, implemented at all read-only
1341 65 zero_gravi
          when others => csr_acc_valid <= '0';
1342
        end case;
1343 56 zero_gravi
 
1344 59 zero_gravi
      -- debug mode CSRs --
1345
      when csr_dcsr_c | csr_dpc_c | csr_dscratch0_c =>
1346 65 zero_gravi
        csr_acc_valid <= debug_ctrl.running and bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG); -- access only in debug-mode
1347 59 zero_gravi
 
1348 56 zero_gravi
      -- undefined / not implemented --
1349
      when others =>
1350 65 zero_gravi
        csr_acc_valid <= '0'; -- invalid access
1351 15 zero_gravi
    end case;
1352 49 zero_gravi
  end process csr_access_check;
1353 15 zero_gravi
 
1354
 
1355 2 zero_gravi
  -- Illegal Instruction Check --------------------------------------------------------------
1356
  -- -------------------------------------------------------------------------------------------
1357 62 zero_gravi
  illegal_instruction_check: process(execute_engine, decode_aux, csr, csr_acc_valid, debug_ctrl)
1358 36 zero_gravi
    variable opcode_v : std_ulogic_vector(6 downto 0);
1359 2 zero_gravi
  begin
1360 65 zero_gravi
    -- illegal instructions are checked in the EXECUTE state
1361 36 zero_gravi
    -- the execute engine should not commit any illegal instruction
1362 6 zero_gravi
    if (execute_engine.state = EXECUTE) then
1363 2 zero_gravi
      -- defaults --
1364
      illegal_instruction <= '0';
1365
      illegal_register    <= '0';
1366
 
1367 36 zero_gravi
      -- check opcode for rv32 --
1368
      if (execute_engine.i_reg(instr_opcode_lsb_c+1 downto instr_opcode_lsb_c) = "11") then
1369
        illegal_opcode_lsbs <= '0';
1370
      else
1371
        illegal_opcode_lsbs <= '1';
1372
      end if;
1373
 
1374 66 zero_gravi
      -- check for illegal compressed instruction --
1375
      if (CPU_EXTENSION_RISCV_C = true) then
1376
        illegal_compressed <= execute_engine.is_ici;
1377
      else
1378
        illegal_compressed <= '0';
1379
      end if;
1380
 
1381 2 zero_gravi
      -- check instructions --
1382 60 zero_gravi
      opcode_v := execute_engine.i_reg(instr_opcode_msb_c downto instr_opcode_lsb_c+2) & "11"; -- save some bits here, LSBs are always 11 for rv32
1383 36 zero_gravi
      case opcode_v is
1384 2 zero_gravi
 
1385 59 zero_gravi
        when opcode_lui_c | opcode_auipc_c | opcode_jal_c => -- check sufficient LUI, UIPC, JAL (only check actual OPCODE)
1386 52 zero_gravi
        -- ------------------------------------------------------------
1387 2 zero_gravi
          illegal_instruction <= '0';
1388 23 zero_gravi
          -- illegal E-CPU register? --
1389 68 zero_gravi
          illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and execute_engine.i_reg(instr_rd_msb_c);
1390 2 zero_gravi
 
1391 44 zero_gravi
        when opcode_alu_c => -- check ALU.funct3 & ALU.funct7
1392 52 zero_gravi
        -- ------------------------------------------------------------
1393 68 zero_gravi
          if (((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c)) and
1394
              (execute_engine.i_reg(instr_funct7_msb_c-2 downto instr_funct7_lsb_c) = "00000") and (execute_engine.i_reg(instr_funct7_msb_c) = '0')) or
1395
             (((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) or
1396
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
1397
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) or
1398
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_xor_c) or
1399
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_or_c) or
1400
               (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_and_c)) and
1401
               (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000000")) then -- valid base ALUI instruction?
1402
            illegal_instruction <= '0';
1403
          elsif ((CPU_EXTENSION_RISCV_M = true) or (CPU_EXTENSION_RISCV_Zmmul = false)) and (decode_aux.is_m_mul = '1') then -- valid MUL instruction?
1404
            illegal_instruction <= '0';
1405
          elsif (CPU_EXTENSION_RISCV_M = true) and (decode_aux.is_m_div = '1') then -- valid DIV instruction?
1406
            illegal_instruction <= '0';
1407
          elsif (CPU_EXTENSION_RISCV_B = true) and (decode_aux.is_bitmanip_reg = '1') then -- valid BITMANIP instruction?
1408
            illegal_instruction <= '0';
1409
          else
1410 44 zero_gravi
            illegal_instruction <= '1';
1411
          end if;
1412
          -- illegal E-CPU register? --
1413 68 zero_gravi
          illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rd_msb_c) or execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rs2_msb_c));
1414 44 zero_gravi
 
1415
        when opcode_alui_c => -- check ALUI.funct7
1416 52 zero_gravi
        -- ------------------------------------------------------------
1417 68 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_subadd_c) or
1418
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_slt_c) or
1419
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sltu_c) or
1420
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_xor_c) or
1421
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_or_c) or
1422
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_and_c) or
1423
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sll_c) and -- shift logical left
1424
              (execute_engine.i_reg(instr_funct7_msb_c downto instr_funct7_lsb_c) = "0000000")) or
1425
             ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sr_c) and -- shift right
1426
              ((execute_engine.i_reg(instr_funct7_msb_c-2 downto instr_funct7_lsb_c) = "00000") and (execute_engine.i_reg(instr_funct7_msb_c) = '0'))) then -- valid base ALUI instruction?
1427
            illegal_instruction <= '0';
1428
          elsif (CPU_EXTENSION_RISCV_B = true) and (decode_aux.is_bitmanip_imm = '1') then -- valid BITMANIP immediate instruction?
1429
            illegal_instruction <= '0';
1430
          else
1431 2 zero_gravi
            illegal_instruction <= '1';
1432
          end if;
1433 23 zero_gravi
          -- illegal E-CPU register? --
1434 68 zero_gravi
          illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c));
1435 39 zero_gravi
 
1436 44 zero_gravi
        when opcode_load_c => -- check LOAD.funct3
1437 52 zero_gravi
        -- ------------------------------------------------------------
1438 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lb_c) or
1439
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lh_c) or
1440
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lw_c) or
1441
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lbu_c) or
1442
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_lhu_c) then
1443 2 zero_gravi
            illegal_instruction <= '0';
1444
          else
1445
            illegal_instruction <= '1';
1446
          end if;
1447 23 zero_gravi
          -- illegal E-CPU register? --
1448 68 zero_gravi
          illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c));
1449 39 zero_gravi
 
1450 44 zero_gravi
        when opcode_store_c => -- check STORE.funct3
1451 52 zero_gravi
        -- ------------------------------------------------------------
1452 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sb_c) or
1453
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sh_c) or
1454
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_sw_c) then
1455 2 zero_gravi
            illegal_instruction <= '0';
1456
          else
1457
            illegal_instruction <= '1';
1458
          end if;
1459 23 zero_gravi
          -- illegal E-CPU register? --
1460 68 zero_gravi
          illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs2_msb_c) or execute_engine.i_reg(instr_rs1_msb_c));
1461
 
1462
        when opcode_atomic_c => -- atomic instructions
1463
        -- ------------------------------------------------------------
1464
          if (CPU_EXTENSION_RISCV_A = true) then
1465
            if (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = "00010") then -- LR
1466
              illegal_instruction <= '0';
1467
              -- illegal E-CPU register? --
1468
              illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c));
1469
            elsif (execute_engine.i_reg(instr_funct5_msb_c downto instr_funct5_lsb_c) = "00011") then -- SC
1470
              illegal_instruction <= '0';
1471
              -- illegal E-CPU register? --
1472
              illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rs2_msb_c) or execute_engine.i_reg(instr_rd_msb_c));
1473
            else
1474
              illegal_instruction <= '1';
1475
            end if;
1476
          else
1477
            illegal_instruction <= '1';
1478 23 zero_gravi
          end if;
1479 2 zero_gravi
 
1480 44 zero_gravi
        when opcode_branch_c => -- check BRANCH.funct3
1481 52 zero_gravi
        -- ------------------------------------------------------------
1482 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_beq_c) or
1483
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bne_c) or
1484
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_blt_c) or
1485
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bge_c) or
1486
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bltu_c) or
1487
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_bgeu_c) then
1488 2 zero_gravi
            illegal_instruction <= '0';
1489
          else
1490
            illegal_instruction <= '1';
1491
          end if;
1492 23 zero_gravi
          -- illegal E-CPU register? --
1493 68 zero_gravi
          illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs2_msb_c) or execute_engine.i_reg(instr_rs1_msb_c));
1494 2 zero_gravi
 
1495 44 zero_gravi
        when opcode_jalr_c => -- check JALR.funct3
1496 52 zero_gravi
        -- ------------------------------------------------------------
1497 6 zero_gravi
          if (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") then
1498 2 zero_gravi
            illegal_instruction <= '0';
1499
          else
1500
            illegal_instruction <= '1';
1501
          end if;
1502 23 zero_gravi
          -- illegal E-CPU register? --
1503 68 zero_gravi
          illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c));
1504 2 zero_gravi
 
1505 68 zero_gravi
        when opcode_fence_c => -- check FENCE.funct3
1506 52 zero_gravi
        -- ------------------------------------------------------------
1507 64 zero_gravi
          if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fencei_c) and (CPU_EXTENSION_RISCV_Zifencei = true)) or -- FENCE.I
1508 61 zero_gravi
             (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_fence_c) then -- FENCE
1509 8 zero_gravi
            illegal_instruction <= '0';
1510
          else
1511
            illegal_instruction <= '1';
1512
          end if;
1513 68 zero_gravi
          -- illegal E-CPU register? --
1514
          illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c));
1515 8 zero_gravi
 
1516 52 zero_gravi
        when opcode_syscsr_c => -- check system instructions
1517
        -- ------------------------------------------------------------
1518 2 zero_gravi
          -- CSR access --
1519 68 zero_gravi
          if ((execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrw_c) or
1520
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrs_c) or
1521
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrc_c) or
1522
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrwi_c) or
1523
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrsi_c) or
1524
              (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = funct3_csrrci_c)) and
1525
             (csr_acc_valid = '1') then -- valid CSR access?
1526
            illegal_instruction <= '0';
1527 23 zero_gravi
            -- illegal E-CPU register? --
1528
            if (CPU_EXTENSION_RISCV_E = true) then
1529
              if (execute_engine.i_reg(instr_funct3_msb_c) = '0') then -- reg-reg CSR
1530
                illegal_register <= execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c);
1531
              else -- reg-imm CSR
1532
                illegal_register <= execute_engine.i_reg(instr_rd_msb_c);
1533
              end if;
1534
            end if;
1535 60 zero_gravi
          -- ecall, ebreak, mret, wfi, dret --
1536 68 zero_gravi
          elsif (execute_engine.i_reg(instr_funct3_msb_c downto instr_funct3_lsb_c) = "000") and
1537
                (decode_aux.rs1_zero = '1') and (decode_aux.rd_zero = '1') and
1538
                ((execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ecall_c)  or -- ECALL
1539
                 (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_ebreak_c) or -- EBREAK 
1540
                 ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_mret_c) and (csr.priv_m_mode = '1')) or -- MRET (only allowed in M-mode)
1541
                 ((execute_engine.i_reg(instr_funct12_msb_c downto instr_funct12_lsb_c) = funct12_dret_c) and (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1')) or -- DRET (only allowed in D-mode)
1542
                 (execute_engine.i_reg(instr_funct12_msb_c  downto instr_funct12_lsb_c) = funct12_wfi_c)) then -- WFI (always allowed to execute)
1543 39 zero_gravi
            illegal_instruction <= '0';
1544
          else
1545
            illegal_instruction <= '1';
1546
          end if;
1547
 
1548 53 zero_gravi
        when opcode_fop_c => -- floating point operations - single/dual operands
1549 52 zero_gravi
        -- ------------------------------------------------------------
1550 63 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) and -- F extension implemented
1551 53 zero_gravi
             (execute_engine.i_reg(instr_funct7_lsb_c+1 downto instr_funct7_lsb_c) = float_single_c) and -- single-precision operations only
1552
             (decode_aux.is_float_op = '1') then -- is correct/supported floating-point instruction
1553 52 zero_gravi
            illegal_instruction <= '0';
1554
          else
1555
            illegal_instruction <= '1';
1556
          end if;
1557 68 zero_gravi
          -- illegal E-CPU register? --
1558
          -- FIXME: rs2 is not checked!
1559
          illegal_register <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E) and (execute_engine.i_reg(instr_rs1_msb_c) or execute_engine.i_reg(instr_rd_msb_c));
1560 52 zero_gravi
 
1561 36 zero_gravi
        when others => -- undefined instruction -> illegal!
1562 52 zero_gravi
        -- ------------------------------------------------------------
1563 36 zero_gravi
          illegal_instruction <= '1';
1564 2 zero_gravi
 
1565
      end case;
1566
    else
1567 36 zero_gravi
      illegal_opcode_lsbs <= '0';
1568 66 zero_gravi
      illegal_compressed  <= '0';
1569 2 zero_gravi
      illegal_instruction <= '0';
1570
      illegal_register    <= '0';
1571
    end if;
1572
  end process illegal_instruction_check;
1573
 
1574
  -- any illegal condition? --
1575 66 zero_gravi
  trap_ctrl.instr_il <= illegal_instruction or illegal_opcode_lsbs or illegal_register or illegal_compressed;
1576 2 zero_gravi
 
1577
 
1578 6 zero_gravi
-- ****************************************************************************************************************************
1579 38 zero_gravi
-- Exception and Interrupt (= Trap) Control
1580 6 zero_gravi
-- ****************************************************************************************************************************
1581 2 zero_gravi
 
1582 6 zero_gravi
  -- Trap Controller ------------------------------------------------------------------------
1583 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1584 6 zero_gravi
  trap_controller: process(rstn_i, clk_i)
1585 40 zero_gravi
    variable mode_m_v, mode_u_v : std_ulogic;
1586 2 zero_gravi
  begin
1587
    if (rstn_i = '0') then
1588 6 zero_gravi
      trap_ctrl.exc_buf   <= (others => '0');
1589 64 zero_gravi
      trap_ctrl.irq_buf   <= (others => '0');
1590 6 zero_gravi
      trap_ctrl.exc_ack   <= '0';
1591 47 zero_gravi
      trap_ctrl.env_start <= '0';
1592 65 zero_gravi
      trap_ctrl.cause     <= (others => '0');
1593 2 zero_gravi
    elsif rising_edge(clk_i) then
1594
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1595 59 zero_gravi
 
1596 68 zero_gravi
        -- exception queue: misaligned load/store/instruction address --
1597 59 zero_gravi
        trap_ctrl.exc_buf(exception_lalign_c) <= (trap_ctrl.exc_buf(exception_lalign_c) or ma_load_i)          and (not trap_ctrl.exc_ack);
1598
        trap_ctrl.exc_buf(exception_salign_c) <= (trap_ctrl.exc_buf(exception_salign_c) or ma_store_i)         and (not trap_ctrl.exc_ack);
1599
        trap_ctrl.exc_buf(exception_ialign_c) <= (trap_ctrl.exc_buf(exception_ialign_c) or trap_ctrl.instr_ma) and (not trap_ctrl.exc_ack);
1600
 
1601 68 zero_gravi
        -- exception queue: load/store/instruction bus access error --
1602 59 zero_gravi
        trap_ctrl.exc_buf(exception_laccess_c) <= (trap_ctrl.exc_buf(exception_laccess_c) or be_load_i)          and (not trap_ctrl.exc_ack);
1603
        trap_ctrl.exc_buf(exception_saccess_c) <= (trap_ctrl.exc_buf(exception_saccess_c) or be_store_i)         and (not trap_ctrl.exc_ack);
1604
        trap_ctrl.exc_buf(exception_iaccess_c) <= (trap_ctrl.exc_buf(exception_iaccess_c) or trap_ctrl.instr_be) and (not trap_ctrl.exc_ack);
1605
 
1606 68 zero_gravi
        -- exception queue: illegal instruction / environment calls --
1607 40 zero_gravi
        trap_ctrl.exc_buf(exception_m_envcall_c) <= (trap_ctrl.exc_buf(exception_m_envcall_c) or (trap_ctrl.env_call and csr.priv_m_mode)) and (not trap_ctrl.exc_ack);
1608
        trap_ctrl.exc_buf(exception_u_envcall_c) <= (trap_ctrl.exc_buf(exception_u_envcall_c) or (trap_ctrl.env_call and csr.priv_u_mode)) and (not trap_ctrl.exc_ack);
1609
        trap_ctrl.exc_buf(exception_iillegal_c)  <= (trap_ctrl.exc_buf(exception_iillegal_c)  or trap_ctrl.instr_il)                       and (not trap_ctrl.exc_ack);
1610 68 zero_gravi
 
1611
        -- exception queue: break point --
1612 59 zero_gravi
        if (CPU_EXTENSION_RISCV_DEBUG = true) then
1613 68 zero_gravi
          trap_ctrl.exc_buf(exception_break_c) <= (not trap_ctrl.exc_ack) and (trap_ctrl.exc_buf(exception_break_c) or
1614
            ((trap_ctrl.break_point and csr.priv_m_mode and (not csr.dcsr_ebreakm) and (not debug_ctrl.running)) or -- enable break to machine-trap-handler when in machine mode on "ebreak"
1615
             (trap_ctrl.break_point and csr.priv_u_mode and (not csr.dcsr_ebreaku) and (not debug_ctrl.running)))); -- enable break to machine-trap-handler when in user mode on "ebreak"
1616 59 zero_gravi
        else
1617
          trap_ctrl.exc_buf(exception_break_c) <= (trap_ctrl.exc_buf(exception_break_c) or trap_ctrl.break_point) and (not trap_ctrl.exc_ack);
1618
        end if;
1619
 
1620 68 zero_gravi
        -- exception buffer: enter debug mode --
1621
        trap_ctrl.exc_buf(exception_db_break_c) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG) and (trap_ctrl.exc_buf(exception_db_break_c) or debug_ctrl.trig_break) and (not trap_ctrl.exc_ack);
1622
        trap_ctrl.irq_buf(interrupt_db_halt_c)  <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG) and debug_ctrl.trig_halt;
1623
        trap_ctrl.irq_buf(interrupt_db_step_c)  <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_DEBUG) and debug_ctrl.trig_step;
1624 59 zero_gravi
 
1625 68 zero_gravi
        -- interrupt buffer: machine software/external/timer interrupt --
1626 64 zero_gravi
        trap_ctrl.irq_buf(interrupt_msw_irq_c)   <= csr.mie_msie and msw_irq_i;
1627
        trap_ctrl.irq_buf(interrupt_mext_irq_c)  <= csr.mie_meie and mext_irq_i;
1628
        trap_ctrl.irq_buf(interrupt_mtime_irq_c) <= csr.mie_mtie and mtime_irq_i;
1629 59 zero_gravi
 
1630 69 zero_gravi
        -- interrupt queue: NEORV32-specific fast interrupts (FIRQ) --
1631
        trap_ctrl.irq_buf(interrupt_firq_15_c downto interrupt_firq_0_c) <= (trap_ctrl.irq_buf(interrupt_firq_15_c downto interrupt_firq_0_c) or (csr.mie_firqe and firq_i)) and (not csr.mip_clr);
1632 68 zero_gravi
 
1633
        -- trap environment control --
1634 6 zero_gravi
        if (trap_ctrl.env_start = '0') then -- no started trap handler
1635 68 zero_gravi
          if (trap_ctrl.exc_fire = '1') or ((trap_ctrl.irq_fire = '1') and -- exception triggered!
1636 49 zero_gravi
             ((execute_engine.state = EXECUTE) or (execute_engine.state = TRAP_ENTER))) then -- fire IRQs in EXECUTE or TRAP state only to continue execution even on permanent IRQ
1637 65 zero_gravi
            trap_ctrl.cause     <= trap_ctrl.cause_nxt; -- capture source ID for program (for mcause csr)
1638
            trap_ctrl.exc_ack   <= '1';                 -- clear exceptions (no ack mask: these have highest priority and are always evaluated first!)
1639
            trap_ctrl.env_start <= '1';                 -- now execute engine can start trap handler
1640 2 zero_gravi
          end if;
1641 6 zero_gravi
        else -- trap waiting to get started
1642
          if (trap_ctrl.env_start_ack = '1') then -- start of trap handler acknowledged by execution engine
1643
            trap_ctrl.exc_ack   <= '0';
1644
            trap_ctrl.env_start <= '0';
1645 2 zero_gravi
          end if;
1646
        end if;
1647
      end if;
1648
    end if;
1649 6 zero_gravi
  end process trap_controller;
1650 2 zero_gravi
 
1651
  -- any exception/interrupt? --
1652 60 zero_gravi
  trap_ctrl.exc_fire <= or_reduce_f(trap_ctrl.exc_buf); -- exceptions/faults CANNOT be masked
1653 64 zero_gravi
  trap_ctrl.irq_fire <= (or_reduce_f(trap_ctrl.irq_buf) and csr.mstatus_mie and trap_ctrl.db_irq_en) or trap_ctrl.db_irq_fire; -- interrupts CAN be masked (but not the DEBUG halt IRQ)
1654 2 zero_gravi
 
1655 59 zero_gravi
  -- debug mode (entry) interrupts --
1656 61 zero_gravi
  trap_ctrl.db_irq_en   <= '0' when (CPU_EXTENSION_RISCV_DEBUG = true) and ((debug_ctrl.running = '1') or (csr.dcsr_step = '1')) else '1'; -- no interrupts when IN debug mode or IN single-step mode
1657 59 zero_gravi
  trap_ctrl.db_irq_fire <= (trap_ctrl.irq_buf(interrupt_db_step_c) or trap_ctrl.irq_buf(interrupt_db_halt_c)) when (CPU_EXTENSION_RISCV_DEBUG = true) else '0'; -- "NMI" for debug mode entry
1658
 
1659 40 zero_gravi
 
1660 42 zero_gravi
  -- Trap Priority Encoder ------------------------------------------------------------------
1661 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
1662
  trap_priority: process(trap_ctrl)
1663 2 zero_gravi
  begin
1664
    -- defaults --
1665 65 zero_gravi
    trap_ctrl.cause_nxt <= (others => '0');
1666 2 zero_gravi
 
1667 64 zero_gravi
    -- NOTE: Synchronous exceptions (from trap_ctrl.exc_buf) have higher priority than asynchronous
1668
    -- exceptions (from trap_ctrl.irq_buf).
1669
 
1670 58 zero_gravi
    -- ----------------------------------------------------------------------------------------
1671 68 zero_gravi
    -- the following traps are caused by *synchronous* exceptions; we do not need a
1672 64 zero_gravi
    -- specific acknowledge mask since only _one_ exception (the one with highest priority)
1673
    -- is allowed to kick in at once
1674 59 zero_gravi
    -- ----------------------------------------------------------------------------------------
1675
 
1676 64 zero_gravi
    -- exception: 0.0 instruction address misaligned --
1677
    if (trap_ctrl.exc_buf(exception_ialign_c) = '1') then
1678
      trap_ctrl.cause_nxt <= trap_ima_c;
1679
 
1680
    -- exception: 0.1 instruction access fault --
1681
    elsif (trap_ctrl.exc_buf(exception_iaccess_c) = '1') then
1682
      trap_ctrl.cause_nxt <= trap_iba_c;
1683
 
1684
    -- exception: 0.2 illegal instruction --
1685
    elsif (trap_ctrl.exc_buf(exception_iillegal_c) = '1') then
1686
      trap_ctrl.cause_nxt <= trap_iil_c;
1687
 
1688
 
1689
    -- exception: 0.11 environment call from M-mode --
1690
    elsif (trap_ctrl.exc_buf(exception_m_envcall_c) = '1') then
1691
      trap_ctrl.cause_nxt <= trap_menv_c;
1692
 
1693
    -- exception: 0.8 environment call from U-mode --
1694
    elsif (trap_ctrl.exc_buf(exception_u_envcall_c) = '1') then
1695
      trap_ctrl.cause_nxt <= trap_uenv_c;
1696
 
1697
    -- exception: 0.3 breakpoint --
1698
    elsif (trap_ctrl.exc_buf(exception_break_c) = '1') then
1699
      trap_ctrl.cause_nxt <= trap_brk_c;
1700
 
1701
 
1702
    -- exception: 0.6 store address misaligned -
1703
    elsif (trap_ctrl.exc_buf(exception_salign_c) = '1') then
1704
      trap_ctrl.cause_nxt <= trap_sma_c;
1705
 
1706
    -- exception: 0.4 load address misaligned --
1707
    elsif (trap_ctrl.exc_buf(exception_lalign_c) = '1') then
1708
      trap_ctrl.cause_nxt <= trap_lma_c;
1709
 
1710
    -- exception: 0.7 store access fault --
1711
    elsif (trap_ctrl.exc_buf(exception_saccess_c) = '1') then
1712
      trap_ctrl.cause_nxt <= trap_sbe_c;
1713
 
1714
    -- exception: 0.5 load access fault --
1715
    elsif (trap_ctrl.exc_buf(exception_laccess_c) = '1') then
1716
      trap_ctrl.cause_nxt <= trap_lbe_c;
1717
 
1718
 
1719
    -- ----------------------------------------------------------------------------------------
1720 69 zero_gravi
    -- (re-)enter debug mode requests: basically, these are standard traps that have some
1721 64 zero_gravi
    -- special handling - they have the highest INTERRUPT priority in order to go to debug when requested
1722
    -- even if other IRQs are pending right now
1723
    -- ----------------------------------------------------------------------------------------
1724
 
1725 59 zero_gravi
    -- break instruction --
1726 64 zero_gravi
    elsif (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.exc_buf(exception_db_break_c) = '1') then
1727 59 zero_gravi
      trap_ctrl.cause_nxt <= trap_db_break_c;
1728
 
1729
    -- external halt request --
1730
    elsif (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.irq_buf(interrupt_db_halt_c) = '1') then
1731
      trap_ctrl.cause_nxt <= trap_db_halt_c;
1732
 
1733 64 zero_gravi
    -- single stepping --
1734
    elsif (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.irq_buf(interrupt_db_step_c) = '1') then
1735
      trap_ctrl.cause_nxt <= trap_db_step_c;
1736 59 zero_gravi
 
1737 64 zero_gravi
 
1738 59 zero_gravi
    -- ----------------------------------------------------------------------------------------
1739 38 zero_gravi
    -- the following traps are caused by *asynchronous* exceptions (= interrupts)
1740 58 zero_gravi
    -- ----------------------------------------------------------------------------------------
1741 9 zero_gravi
 
1742 64 zero_gravi
    -- custom FAST interrupt requests --
1743 58 zero_gravi
 
1744 14 zero_gravi
    -- interrupt: 1.16 fast interrupt channel 0 --
1745
    elsif (trap_ctrl.irq_buf(interrupt_firq_0_c) = '1') then
1746
      trap_ctrl.cause_nxt <= trap_firq0_c;
1747
 
1748
    -- interrupt: 1.17 fast interrupt channel 1 --
1749
    elsif (trap_ctrl.irq_buf(interrupt_firq_1_c) = '1') then
1750
      trap_ctrl.cause_nxt <= trap_firq1_c;
1751
 
1752
    -- interrupt: 1.18 fast interrupt channel 2 --
1753
    elsif (trap_ctrl.irq_buf(interrupt_firq_2_c) = '1') then
1754
      trap_ctrl.cause_nxt <= trap_firq2_c;
1755
 
1756
    -- interrupt: 1.19 fast interrupt channel 3 --
1757
    elsif (trap_ctrl.irq_buf(interrupt_firq_3_c) = '1') then
1758
      trap_ctrl.cause_nxt <= trap_firq3_c;
1759
 
1760 47 zero_gravi
    -- interrupt: 1.20 fast interrupt channel 4 --
1761
    elsif (trap_ctrl.irq_buf(interrupt_firq_4_c) = '1') then
1762
      trap_ctrl.cause_nxt <= trap_firq4_c;
1763 14 zero_gravi
 
1764 47 zero_gravi
    -- interrupt: 1.21 fast interrupt channel 5 --
1765
    elsif (trap_ctrl.irq_buf(interrupt_firq_5_c) = '1') then
1766
      trap_ctrl.cause_nxt <= trap_firq5_c;
1767
 
1768
    -- interrupt: 1.22 fast interrupt channel 6 --
1769
    elsif (trap_ctrl.irq_buf(interrupt_firq_6_c) = '1') then
1770
      trap_ctrl.cause_nxt <= trap_firq6_c;
1771
 
1772
    -- interrupt: 1.23 fast interrupt channel 7 --
1773
    elsif (trap_ctrl.irq_buf(interrupt_firq_7_c) = '1') then
1774
      trap_ctrl.cause_nxt <= trap_firq7_c;
1775
 
1776 48 zero_gravi
    -- interrupt: 1.24 fast interrupt channel 8 --
1777
    elsif (trap_ctrl.irq_buf(interrupt_firq_8_c) = '1') then
1778
      trap_ctrl.cause_nxt <= trap_firq8_c;
1779 47 zero_gravi
 
1780 48 zero_gravi
    -- interrupt: 1.25 fast interrupt channel 9 --
1781
    elsif (trap_ctrl.irq_buf(interrupt_firq_9_c) = '1') then
1782
      trap_ctrl.cause_nxt <= trap_firq9_c;
1783
 
1784
    -- interrupt: 1.26 fast interrupt channel 10 --
1785
    elsif (trap_ctrl.irq_buf(interrupt_firq_10_c) = '1') then
1786
      trap_ctrl.cause_nxt <= trap_firq10_c;
1787
 
1788
    -- interrupt: 1.27 fast interrupt channel 11 --
1789
    elsif (trap_ctrl.irq_buf(interrupt_firq_11_c) = '1') then
1790
      trap_ctrl.cause_nxt <= trap_firq11_c;
1791
 
1792
    -- interrupt: 1.28 fast interrupt channel 12 --
1793
    elsif (trap_ctrl.irq_buf(interrupt_firq_12_c) = '1') then
1794
      trap_ctrl.cause_nxt <= trap_firq12_c;
1795
 
1796
    -- interrupt: 1.29 fast interrupt channel 13 --
1797
    elsif (trap_ctrl.irq_buf(interrupt_firq_13_c) = '1') then
1798
      trap_ctrl.cause_nxt <= trap_firq13_c;
1799
 
1800
    -- interrupt: 1.30 fast interrupt channel 14 --
1801
    elsif (trap_ctrl.irq_buf(interrupt_firq_14_c) = '1') then
1802
      trap_ctrl.cause_nxt <= trap_firq14_c;
1803
 
1804
    -- interrupt: 1.31 fast interrupt channel 15 --
1805
    elsif (trap_ctrl.irq_buf(interrupt_firq_15_c) = '1') then
1806
      trap_ctrl.cause_nxt <= trap_firq15_c;
1807
 
1808
 
1809 64 zero_gravi
    -- standard RISC-V interrupts --
1810 4 zero_gravi
 
1811 64 zero_gravi
    -- interrupt: 1.11 machine external interrupt --
1812
    elsif (trap_ctrl.irq_buf(interrupt_mext_irq_c) = '1') then
1813
      trap_ctrl.cause_nxt <= trap_mei_c;
1814 2 zero_gravi
 
1815 64 zero_gravi
    -- interrupt: 1.3 machine SW interrupt --
1816
    elsif (trap_ctrl.irq_buf(interrupt_msw_irq_c) = '1') then
1817
      trap_ctrl.cause_nxt <= trap_msi_c;
1818 2 zero_gravi
 
1819 64 zero_gravi
    -- interrupt: 1.7 machine timer interrupt --
1820
    elsif (trap_ctrl.irq_buf(interrupt_mtime_irq_c) = '1') then
1821
      trap_ctrl.cause_nxt <= trap_mti_c;
1822 2 zero_gravi
 
1823
    end if;
1824 6 zero_gravi
  end process trap_priority;
1825
 
1826 2 zero_gravi
 
1827 6 zero_gravi
-- ****************************************************************************************************************************
1828
-- Control and Status Registers (CSRs)
1829
-- ****************************************************************************************************************************
1830 2 zero_gravi
 
1831 27 zero_gravi
  -- Control and Status Registers Write Data ------------------------------------------------
1832
  -- -------------------------------------------------------------------------------------------
1833 36 zero_gravi
  csr_write_data: process(execute_engine.i_reg, csr.rdata, rs1_i)
1834
    variable csr_operand_v : std_ulogic_vector(data_width_c-1 downto 0);
1835 27 zero_gravi
  begin
1836 36 zero_gravi
    -- CSR operand source --
1837
    if (execute_engine.i_reg(instr_funct3_msb_c) = '1') then -- immediate
1838
      csr_operand_v := (others => '0');
1839 38 zero_gravi
      csr_operand_v(4 downto 0) := execute_engine.i_reg(19 downto 15); -- uimm5
1840 36 zero_gravi
    else -- register
1841
      csr_operand_v := rs1_i;
1842
    end if;
1843 40 zero_gravi
    -- tiny ALU for CSR write operations --
1844 27 zero_gravi
    case execute_engine.i_reg(instr_funct3_lsb_c+1 downto instr_funct3_lsb_c) is
1845 36 zero_gravi
      when "10"   => csr.wdata <= csr.rdata or csr_operand_v; -- CSRRS(I)
1846
      when "11"   => csr.wdata <= csr.rdata and (not csr_operand_v); -- CSRRC(I)
1847
      when others => csr.wdata <= csr_operand_v; -- CSRRW(I)
1848 27 zero_gravi
    end case;
1849
  end process csr_write_data;
1850
 
1851
 
1852 52 zero_gravi
  -- Control and Status Registers - Write Access --------------------------------------------
1853 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1854
  csr_write_access: process(rstn_i, clk_i)
1855 65 zero_gravi
    variable cause_v : std_ulogic_vector(6 downto 0);
1856 2 zero_gravi
  begin
1857 59 zero_gravi
    -- NOTE: If <dedicated_reset_c> = true then <def_rst_val_c> evaluates to '-'. Register that reset to <def_rst_val_c> do
1858
    -- NOT actually have a real reset by default (def_rst_val_c = '-') and have to be explicitly initialized by software!
1859 56 zero_gravi
    -- see: https://forums.xilinx.com/t5/General-Technical-Discussion/quot-Don-t-care-quot-reset-value/td-p/412845
1860 2 zero_gravi
    if (rstn_i = '0') then
1861 68 zero_gravi
      csr.we                <= '0';
1862 11 zero_gravi
      --
1863 68 zero_gravi
      csr.mstatus_mie       <= '0';
1864
      csr.mstatus_mpie      <= '0';
1865
      csr.mstatus_mpp       <= (others => '0');
1866
      csr.privilege         <= priv_mode_m_c; -- start in MACHINE mode
1867
      csr.mie_msie          <= def_rst_val_c;
1868
      csr.mie_meie          <= def_rst_val_c;
1869
      csr.mie_mtie          <= def_rst_val_c;
1870
      csr.mie_firqe         <= (others => def_rst_val_c);
1871
      csr.mtvec             <= (others => def_rst_val_c);
1872
      csr.mscratch          <= x"19880704";
1873
      csr.mepc              <= (others => def_rst_val_c);
1874
      csr.mcause            <= (others => def_rst_val_c);
1875
      csr.mtval             <= (others => def_rst_val_c);
1876 69 zero_gravi
      csr.mip_clr           <= (others => def_rst_val_c);
1877 42 zero_gravi
      --
1878 68 zero_gravi
      csr.pmpcfg            <= (others => (others => '0'));
1879
      csr.pmpaddr           <= (others => (others => def_rst_val_c));
1880 34 zero_gravi
      --
1881 68 zero_gravi
      csr.mhpmevent         <= (others => (others => def_rst_val_c));
1882 41 zero_gravi
      --
1883 68 zero_gravi
      csr.mcounteren_cy     <= def_rst_val_c;
1884
      csr.mcounteren_tm     <= def_rst_val_c;
1885
      csr.mcounteren_ir     <= def_rst_val_c;
1886 42 zero_gravi
      --
1887 56 zero_gravi
      csr.mcountinhibit_cy  <= def_rst_val_c;
1888
      csr.mcountinhibit_ir  <= def_rst_val_c;
1889
      csr.mcountinhibit_hpm <= (others => def_rst_val_c);
1890 52 zero_gravi
      --
1891 68 zero_gravi
      csr.fflags            <= (others => def_rst_val_c);
1892
      csr.frm               <= (others => def_rst_val_c);
1893 59 zero_gravi
      --
1894 68 zero_gravi
      csr.dcsr_ebreakm      <= '0';
1895
      csr.dcsr_ebreaku      <= '0';
1896
      csr.dcsr_step         <= '0';
1897
      csr.dcsr_prv          <= (others => def_rst_val_c);
1898
      csr.dcsr_cause        <= (others => def_rst_val_c);
1899
      csr.dpc               <= (others => def_rst_val_c);
1900
      csr.dscratch0         <= (others => def_rst_val_c);
1901 49 zero_gravi
 
1902 2 zero_gravi
    elsif rising_edge(clk_i) then
1903 29 zero_gravi
      -- write access? --
1904
      csr.we <= csr.we_nxt;
1905 56 zero_gravi
 
1906 69 zero_gravi
      -- defaults --
1907
      csr.mip_clr <= (others => '0');
1908
 
1909 36 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
1910
        -- --------------------------------------------------------------------------------
1911
        -- CSR access by application software
1912
        -- --------------------------------------------------------------------------------
1913 68 zero_gravi
        if (csr.we = '1') and (trap_ctrl.exc_buf(exception_iillegal_c) = '0') then -- manual write access and not illegal instruction
1914 52 zero_gravi
 
1915
          -- user floating-point CSRs --
1916
          -- --------------------------------------------------------------------
1917 56 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) then -- floating point CSR class
1918 68 zero_gravi
            if (csr.addr(11 downto 2) = csr_class_float_c) then
1919
              if (csr.addr(1 downto 0) = "01") then -- R/W: fflags - floating-point (FPU) exception flags
1920
                csr.fflags <= csr.wdata(4 downto 0);
1921
              elsif (csr.addr(1 downto 0) = "10") then -- R/W: frm - floating-point (FPU) rounding mode
1922
                csr.frm    <= csr.wdata(2 downto 0);
1923
              elsif (csr.addr(1 downto 0) = "11") then -- R/W: fcsr - floating-point (FPU) control/status (frm + fflags)
1924
                csr.frm    <= csr.wdata(7 downto 5);
1925
                csr.fflags <= csr.wdata(4 downto 0);
1926
              end if;
1927 52 zero_gravi
            end if;
1928
          end if;
1929
 
1930
          -- machine trap setup --
1931
          -- --------------------------------------------------------------------
1932 63 zero_gravi
          if (csr.addr(11 downto 3) = csr_class_setup_c) then -- trap setup CSR class
1933 52 zero_gravi
            -- R/W: mstatus - machine status register --
1934 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mstatus_c(2 downto 0)) then
1935 36 zero_gravi
              csr.mstatus_mie  <= csr.wdata(03);
1936
              csr.mstatus_mpie <= csr.wdata(07);
1937
              if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
1938
                csr.mstatus_mpp(0) <= csr.wdata(11) or csr.wdata(12);
1939
                csr.mstatus_mpp(1) <= csr.wdata(11) or csr.wdata(12);
1940
              end if;
1941 52 zero_gravi
            end if;
1942
            -- R/W: mie - machine interrupt enable register --
1943 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mie_c(2 downto 0)) then
1944 29 zero_gravi
              csr.mie_msie <= csr.wdata(03); -- machine SW IRQ enable
1945
              csr.mie_mtie <= csr.wdata(07); -- machine TIMER IRQ enable
1946
              csr.mie_meie <= csr.wdata(11); -- machine EXT IRQ enable
1947 48 zero_gravi
              for i in 0 to 15 loop -- fast interrupt channels 0..15
1948
                csr.mie_firqe(i) <= csr.wdata(16+i);
1949
              end loop; -- i
1950 52 zero_gravi
            end if;
1951
            -- R/W: mtvec - machine trap-handler base address (for ALL exceptions) --
1952 63 zero_gravi
            if (csr.addr(2 downto 0) = csr_mtvec_c(2 downto 0)) then
1953 29 zero_gravi
              csr.mtvec <= csr.wdata(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
1954 52 zero_gravi
            end if;
1955 66 zero_gravi
            -- R/W: mcounteren - machine counter enable register --
1956 56 zero_gravi
            if (CPU_EXTENSION_RISCV_U = true) then -- this CSR is hardwired to zero if user mode is not implemented
1957 63 zero_gravi
              if (csr.addr(2 downto 0) = csr_mcounteren_c(2 downto 0)) then
1958 61 zero_gravi
                csr.mcounteren_cy <= csr.wdata(0); -- enable user-level access to cycle[h]
1959
                csr.mcounteren_tm <= csr.wdata(1); -- enable user-level access to time[h]
1960
                csr.mcounteren_ir <= csr.wdata(2); -- enable user-level access to instret[h]
1961 51 zero_gravi
              end if;
1962 52 zero_gravi
            end if;
1963
          end if;
1964 29 zero_gravi
 
1965 52 zero_gravi
          -- machine trap handling --
1966
          -- --------------------------------------------------------------------
1967 69 zero_gravi
          if (csr.addr(11 downto 4) = csr_class_trap_c) then -- machine trap handling CSR class
1968 52 zero_gravi
            -- R/W: mscratch - machine scratch register --
1969 69 zero_gravi
            if (csr.addr(3 downto 0) = csr_mscratch_c(3 downto 0)) then
1970 36 zero_gravi
              csr.mscratch <= csr.wdata;
1971 52 zero_gravi
            end if;
1972
            -- R/W: mepc - machine exception program counter --
1973 69 zero_gravi
            if (csr.addr(3 downto 0) = csr_mepc_c(3 downto 0)) then
1974 64 zero_gravi
              csr.mepc <= csr.wdata;
1975 52 zero_gravi
            end if;
1976
            -- R/W: mcause - machine trap cause --
1977 69 zero_gravi
            if (csr.addr(3 downto 0) = csr_mcause_c(3 downto 0)) then
1978 68 zero_gravi
              csr.mcause(csr.mcause'left) <= csr.wdata(31); -- 1: async/interrupt, 0: sync/exception
1979 36 zero_gravi
              csr.mcause(4 downto 0)      <= csr.wdata(4 downto 0); -- identifier
1980 52 zero_gravi
            end if;
1981 69 zero_gravi
            -- R/W: mip - machine interrupt pending --
1982
            if (csr.addr(3 downto 0) =  csr_mip_c(3 downto 0)) then
1983
              csr.mip_clr <= csr.wdata(31 downto 16);
1984
            end if;
1985 52 zero_gravi
          end if;
1986 29 zero_gravi
 
1987 52 zero_gravi
          -- physical memory protection: R/W: pmpcfg* - PMP configuration registers --
1988
          -- --------------------------------------------------------------------
1989 56 zero_gravi
          if (PMP_NUM_REGIONS > 0) then
1990
            if (csr.addr(11 downto 4) = csr_class_pmpcfg_c) then -- pmp configuration CSR class
1991 52 zero_gravi
              for i in 0 to PMP_NUM_REGIONS-1 loop
1992
                if (csr.addr(3 downto 0) = std_ulogic_vector(to_unsigned(i, 4))) then
1993
                  if (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpcfg access
1994
                    csr.pmpcfg(i)(0) <= csr.wdata((i mod 4)*8+0); -- R (rights.read)
1995
                    csr.pmpcfg(i)(1) <= csr.wdata((i mod 4)*8+1); -- W (rights.write)
1996
                    csr.pmpcfg(i)(2) <= csr.wdata((i mod 4)*8+2); -- X (rights.execute)
1997
                    csr.pmpcfg(i)(3) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_L
1998
                    csr.pmpcfg(i)(4) <= csr.wdata((i mod 4)*8+3) and csr.wdata((i mod 4)*8+4); -- A_H - NAPOT/OFF only
1999
                    csr.pmpcfg(i)(5) <= '0'; -- reserved
2000
                    csr.pmpcfg(i)(6) <= '0'; -- reserved
2001
                    csr.pmpcfg(i)(7) <= csr.wdata((i mod 4)*8+7); -- L (locked / rights also enforced in m-mode)
2002 36 zero_gravi
                  end if;
2003 52 zero_gravi
                end if;
2004
              end loop; -- i (PMP regions)
2005
            end if;
2006
          end if;
2007 4 zero_gravi
 
2008 52 zero_gravi
          -- physical memory protection: R/W: pmpaddr* - PMP address registers --
2009
          -- --------------------------------------------------------------------
2010 56 zero_gravi
          if (PMP_NUM_REGIONS > 0) then
2011
            if (csr.addr(11 downto 4) =  csr_pmpaddr0_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr16_c(11 downto 4)) or
2012
               (csr.addr(11 downto 4) = csr_pmpaddr32_c(11 downto 4)) or (csr.addr(11 downto 4) = csr_pmpaddr48_c(11 downto 4)) then
2013 52 zero_gravi
              for i in 0 to PMP_NUM_REGIONS-1 loop
2014
                if (csr.addr(6 downto 0) = std_ulogic_vector(unsigned(csr_pmpaddr0_c(6 downto 0)) + i)) and (csr.pmpcfg(i)(7) = '0') then -- unlocked pmpaddr access
2015
                  csr.pmpaddr(i) <= csr.wdata;
2016
                  csr.pmpaddr(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
2017
                end if;
2018
              end loop; -- i (PMP regions)
2019
            end if;
2020
          end if;
2021 2 zero_gravi
 
2022 52 zero_gravi
          -- machine counter setup --
2023
          -- --------------------------------------------------------------------
2024 56 zero_gravi
          if (csr.addr(11 downto 5) = csr_cnt_setup_c) then -- counter configuration CSR class
2025
            -- R/W: mcountinhibit - machine counter-inhibit register --
2026
            if (csr.addr(4 downto 0) = csr_mcountinhibit_c(4 downto 0)) then
2027 65 zero_gravi
              csr.mcountinhibit_cy <= csr.wdata(0); -- enable auto-increment of [m]cycle[h] counter
2028
              csr.mcountinhibit_ir <= csr.wdata(2); -- enable auto-increment of [m]instret[h] counter
2029 63 zero_gravi
              if (HPM_NUM_CNTS > 0) then -- any HPMs available?
2030
                csr.mcountinhibit_hpm <= csr.wdata(csr.mcountinhibit_hpm'left+3 downto 3); -- enable auto-increment of [m]hpmcounter*[h] counter
2031
              end if;
2032 56 zero_gravi
            end if;
2033 66 zero_gravi
            -- R/W: mhpmevent - machine performance-monitors event selector --
2034
            if (HPM_NUM_CNTS > 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then
2035 52 zero_gravi
              for i in 0 to HPM_NUM_CNTS-1 loop
2036
                if (csr.addr(4 downto 0) = std_ulogic_vector(to_unsigned(i+3, 5))) then
2037
                  csr.mhpmevent(i) <= csr.wdata(csr.mhpmevent(i)'left downto 0);
2038
                end if;
2039 56 zero_gravi
                csr.mhpmevent(i)(hpmcnt_event_never_c) <= '0'; -- would be used for "TIME"
2040 52 zero_gravi
              end loop; -- i (CSRs)
2041
            end if;
2042
          end if;
2043 42 zero_gravi
 
2044 59 zero_gravi
          -- debug mode CSRs --
2045
          -- --------------------------------------------------------------------
2046
          if (CPU_EXTENSION_RISCV_DEBUG = true) then
2047
            if (csr.addr(11 downto 2) = csr_class_debug_c) then -- debug CSR class
2048
              -- R/W: dcsr - debug mode control and status register --
2049
              if (csr.addr(1 downto 0) = csr_dcsr_c(1 downto 0)) then
2050
                csr.dcsr_ebreakm <= csr.wdata(15);
2051
                csr.dcsr_step    <= csr.wdata(2);
2052
                if (CPU_EXTENSION_RISCV_U = true) then -- user mode implemented
2053
                  csr.dcsr_ebreaku <= csr.wdata(12);
2054 65 zero_gravi
                  csr.dcsr_prv(0)  <= csr.wdata(1) or csr.wdata(0);
2055
                  csr.dcsr_prv(1)  <= csr.wdata(1) or csr.wdata(0);
2056 59 zero_gravi
                else -- only machine mode is available
2057
                  csr.dcsr_prv <= priv_mode_m_c;
2058
                end if;
2059
              end if;
2060
              -- R/W: dpc - debug mode program counter --
2061
              if (csr.addr(1 downto 0) = csr_dpc_c(1 downto 0)) then
2062 64 zero_gravi
                csr.dpc <= csr.wdata(data_width_c-1 downto 1) & '0';
2063 59 zero_gravi
              end if;
2064
              -- R/W: dscratch0 - debug mode scratch register 0 --
2065
              if (csr.addr(1 downto 0) = csr_dscratch0_c(1 downto 0)) then
2066
                csr.dscratch0 <= csr.wdata;
2067
              end if;
2068
            end if;
2069
          end if;
2070 29 zero_gravi
 
2071 59 zero_gravi
 
2072 36 zero_gravi
        -- --------------------------------------------------------------------------------
2073
        -- CSR access by hardware
2074
        -- --------------------------------------------------------------------------------
2075
        else
2076
 
2077 52 zero_gravi
          -- floating-point (FPU) exception flags --
2078
          -- --------------------------------------------------------------------
2079 68 zero_gravi
          if (CPU_EXTENSION_RISCV_Zfinx = true) and (trap_ctrl.exc_buf(exception_iillegal_c) = '0') then -- no illegal instruction
2080 52 zero_gravi
            csr.fflags <= csr.fflags or fpu_flags_i; -- accumulate flags ("accrued exception flags")
2081
          end if;
2082
 
2083 59 zero_gravi
          -- mcause, mepc, mtval: write machine trap cause, PC and trap value register --
2084 36 zero_gravi
          -- --------------------------------------------------------------------
2085
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
2086 66 zero_gravi
 
2087 59 zero_gravi
            if (CPU_EXTENSION_RISCV_DEBUG = false) or ((trap_ctrl.cause(5) = '0') and -- update mtval/mepc/mcause only when NOT ENTRY debug mode exception
2088
                                                       (debug_ctrl.running = '0')) then -- and NOT IN debug mode
2089
 
2090
              -- trap cause ID code --
2091
              csr.mcause(csr.mcause'left) <= trap_ctrl.cause(trap_ctrl.cause'left); -- 1: interrupt, 0: exception
2092
              csr.mcause(4 downto 0)      <= trap_ctrl.cause(4 downto 0); -- identifier
2093
 
2094
              -- trap PC --
2095
              if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS (async source)
2096
                csr.mepc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
2097
              else -- for sync. EXCEPTIONS (sync source)
2098
                csr.mepc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
2099
              end if;
2100
 
2101
              -- trap value --
2102 65 zero_gravi
              cause_v := trap_ctrl.cause;
2103
              cause_v(5) := '0'; -- bit 5 is always zero here (= normal trapping), so we do not need to check that again
2104
              case cause_v is
2105 59 zero_gravi
                when trap_ima_c | trap_iba_c => -- misaligned instruction address OR instruction access error
2106
                  csr.mtval <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- address of faulting instruction
2107
                when trap_brk_c => -- breakpoint
2108 65 zero_gravi
                  csr.mtval <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- address of breakpoint instruction
2109 59 zero_gravi
                when trap_lma_c | trap_lbe_c | trap_sma_c | trap_sbe_c => -- misaligned load/store address OR load/store access error
2110
                  csr.mtval <= mar_i; -- faulting data access address
2111
                when trap_iil_c => -- illegal instruction
2112
                  csr.mtval <= execute_engine.i_reg_last; -- faulting instruction itself
2113
                when others => -- everything else including all interrupts
2114
                  csr.mtval <= (others => '0');
2115
              end case;
2116
 
2117 40 zero_gravi
            end if;
2118 59 zero_gravi
 
2119 61 zero_gravi
            -- DEBUG MODE (trap) enter: write dpc and dcsr --
2120 59 zero_gravi
            -- --------------------------------------------------------------------
2121
            if (CPU_EXTENSION_RISCV_DEBUG = true) and (trap_ctrl.cause(5) = '1') and (debug_ctrl.running = '0') then -- debug mode entry exception
2122
 
2123
              -- trap cause ID code --
2124
              csr.dcsr_cause <= trap_ctrl.cause(2 downto 0); -- why did we enter debug mode?
2125
              -- current privilege mode when debug mode was entered --
2126
              csr.dcsr_prv <= csr.privilege;
2127
 
2128
              -- trap PC --
2129
              if (trap_ctrl.cause(trap_ctrl.cause'left) = '1') then -- for INTERRUPTS (async source)
2130
                csr.dpc <= execute_engine.pc(data_width_c-1 downto 1) & '0'; -- this is the CURRENT pc = interrupted instruction
2131
              else -- for sync. EXCEPTIONS (sync source)
2132
                csr.dpc <= execute_engine.last_pc(data_width_c-1 downto 1) & '0'; -- this is the LAST pc = last executed instruction
2133
              end if;
2134
 
2135
            end if;
2136
 
2137 2 zero_gravi
          end if;
2138
 
2139 36 zero_gravi
          -- mstatus: context switch --
2140
          -- --------------------------------------------------------------------
2141 59 zero_gravi
          -- ENTER: trap handling starting?
2142 66 zero_gravi
          if (trap_ctrl.env_start_ack = '1') then -- trap handler starting?
2143
 
2144 59 zero_gravi
            if (CPU_EXTENSION_RISCV_DEBUG = false) or -- normal trapping (debug mode NOT implemented)
2145
               ((debug_ctrl.running = '0') and (trap_ctrl.cause(5) = '0')) then -- not IN debug mode and not ENTERING debug mode
2146
              csr.mstatus_mie  <= '0'; -- disable interrupts
2147
              csr.mstatus_mpie <= csr.mstatus_mie; -- buffer previous mie state
2148
              if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2149
                csr.privilege   <= priv_mode_m_c; -- execute trap in machine mode
2150
                csr.mstatus_mpp <= csr.privilege; -- buffer previous privilege mode
2151
              end if;
2152 2 zero_gravi
            end if;
2153 59 zero_gravi
 
2154
          -- EXIT: return from exception
2155
          elsif (trap_ctrl.env_end = '1') then
2156
            if (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1') then -- return from debug mode
2157
              if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2158
                csr.privilege <= csr.dcsr_prv;
2159
              end if;
2160
            else -- return from "normal trap"
2161
              csr.mstatus_mie  <= csr.mstatus_mpie; -- restore global IRQ enable flag
2162
              csr.mstatus_mpie <= '1';
2163
              if (CPU_EXTENSION_RISCV_U = true) then -- implement user mode
2164
                csr.privilege   <= csr.mstatus_mpp; -- go back to previous privilege mode
2165 60 zero_gravi
                csr.mstatus_mpp <= (others => '0');
2166 59 zero_gravi
              end if;
2167 30 zero_gravi
            end if;
2168 2 zero_gravi
          end if;
2169 59 zero_gravi
 
2170 52 zero_gravi
        end if; -- /hardware csr access
2171
      end if;
2172 29 zero_gravi
 
2173 52 zero_gravi
      -- --------------------------------------------------------------------------------
2174
      -- override write access for disabled functions
2175
      -- --------------------------------------------------------------------------------
2176
 
2177
      -- user mode disabled --
2178
      if (CPU_EXTENSION_RISCV_U = false) then
2179 61 zero_gravi
        csr.privilege     <= priv_mode_m_c;
2180
        csr.mstatus_mpp   <= priv_mode_m_c;
2181
        csr.mcounteren_cy <= '0';
2182
        csr.mcounteren_tm <= '0';
2183
        csr.mcounteren_ir <= '0';
2184
        csr.dcsr_ebreaku  <= '0';
2185
        csr.dcsr_prv      <= priv_mode_m_c;
2186 34 zero_gravi
      end if;
2187 52 zero_gravi
 
2188
      -- pmp disabled --
2189
      if (PMP_NUM_REGIONS = 0) then
2190
        csr.pmpcfg  <= (others => (others => '0'));
2191
        csr.pmpaddr <= (others => (others => '1'));
2192
      end if;
2193
 
2194
      -- hpms disabled --
2195
      if (HPM_NUM_CNTS = 0) then
2196
        csr.mhpmevent         <= (others => (others => '0'));
2197
        csr.mcountinhibit_hpm <= (others => '0');
2198
      end if;
2199
 
2200 56 zero_gravi
      -- cpu counters disabled --
2201
      if (CPU_CNT_WIDTH = 0) then
2202
        csr.mcounteren_cy    <= '0';
2203
        csr.mcounteren_ir    <= '0';
2204
        csr.mcountinhibit_cy <= '0';
2205
        csr.mcountinhibit_ir <= '0';
2206
      end if;
2207
 
2208 52 zero_gravi
      -- floating-point extension disabled --
2209 53 zero_gravi
      if (CPU_EXTENSION_RISCV_Zfinx = false) then
2210 63 zero_gravi
        csr.fflags <= (others => '0');
2211
        csr.frm    <= (others => '0');
2212 52 zero_gravi
      end if;
2213
 
2214 59 zero_gravi
      -- debug mode disabled --
2215
      if (CPU_EXTENSION_RISCV_DEBUG = false) then
2216
        csr.dcsr_ebreakm <= '0';
2217
        csr.dcsr_ebreaku <= '0';
2218
        csr.dcsr_step    <= '0';
2219
        csr.dcsr_cause   <= (others => '0');
2220
        csr.dpc          <= (others => '0');
2221
        csr.dscratch0    <= (others => '0');
2222
      end if;
2223
 
2224 2 zero_gravi
    end if;
2225
  end process csr_write_access;
2226
 
2227 56 zero_gravi
  -- decode current privilege mode --
2228 61 zero_gravi
  csr.privilege_rd <= priv_mode_m_c when (CPU_EXTENSION_RISCV_DEBUG = true) and (debug_ctrl.running = '1') else csr.privilege; -- effective privilege mode ("machine" when in debug mode)
2229 59 zero_gravi
  csr.priv_m_mode  <= '1' when (csr.privilege_rd = priv_mode_m_c) else '0';
2230
  csr.priv_u_mode  <= '1' when (csr.privilege_rd = priv_mode_u_c) and (CPU_EXTENSION_RISCV_U = true) else '0';
2231 40 zero_gravi
 
2232 36 zero_gravi
  -- PMP configuration output to bus unit --
2233 34 zero_gravi
  pmp_output: process(csr)
2234
  begin
2235
    pmp_addr_o <= (others => (others => '0'));
2236
    pmp_ctrl_o <= (others => (others => '0'));
2237 56 zero_gravi
    if (PMP_NUM_REGIONS /= 0) then
2238
      for i in 0 to PMP_NUM_REGIONS-1 loop
2239
        pmp_addr_o(i) <= csr.pmpaddr(i) & "11";
2240
        pmp_addr_o(i)(index_size_f(PMP_MIN_GRANULARITY)-4 downto 0) <= (others => '1');
2241
        pmp_ctrl_o(i) <= csr.pmpcfg(i);
2242
      end loop; -- i
2243
    end if;
2244 42 zero_gravi
  end process pmp_output;
2245
 
2246 58 zero_gravi
  -- PMP config read dummy --
2247 42 zero_gravi
  pmp_rd_dummy: process(csr)
2248
  begin
2249
    csr.pmpcfg_rd  <= (others => (others => '0'));
2250 56 zero_gravi
    if (PMP_NUM_REGIONS /= 0) then
2251
      for i in 0 to PMP_NUM_REGIONS-1 loop
2252
        csr.pmpcfg_rd(i)  <= csr.pmpcfg(i);
2253
      end loop; -- i
2254
    end if;
2255 42 zero_gravi
  end process pmp_rd_dummy;
2256
 
2257
 
2258
  -- Control and Status Registers - Counters ------------------------------------------------
2259
  -- -------------------------------------------------------------------------------------------
2260 56 zero_gravi
  csr_counters: process(rstn_i, clk_i)
2261 42 zero_gravi
  begin
2262 68 zero_gravi
    -- Counter CSRs (each counter is split into two 32-bit counters - coupled via an MSB overflow FF)
2263 56 zero_gravi
    if (rstn_i = '0') then
2264 61 zero_gravi
      csr.mcycle           <= (others => def_rst_val_c);
2265
      csr.mcycle_ovfl      <= (others => def_rst_val_c);
2266
      csr.mcycleh          <= (others => def_rst_val_c);
2267
      csr.minstret         <= (others => def_rst_val_c);
2268
      csr.minstret_ovfl    <= (others => def_rst_val_c);
2269
      csr.minstreth        <= (others => def_rst_val_c);
2270
      csr.mhpmcounter      <= (others => (others => def_rst_val_c));
2271
      csr.mhpmcounter_ovfl <= (others => (others => def_rst_val_c));
2272
      csr.mhpmcounterh     <= (others => (others => def_rst_val_c));
2273 56 zero_gravi
    elsif rising_edge(clk_i) then
2274 42 zero_gravi
 
2275
      -- [m]cycle --
2276 66 zero_gravi
      if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then
2277 68 zero_gravi
        csr.mcycle_ovfl(0) <= csr.mcycle_nxt(csr.mcycle_nxt'left) and (not csr.mcountinhibit_cy);
2278 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_mcycle_c) then -- write access
2279 61 zero_gravi
          csr.mcycle(cpu_cnt_lo_width_c-1 downto 0) <= csr.wdata(cpu_cnt_lo_width_c-1 downto 0);
2280 60 zero_gravi
        elsif (csr.mcountinhibit_cy = '0') and (cnt_event(hpmcnt_event_cy_c) = '1') then -- non-inhibited automatic update
2281 61 zero_gravi
          csr.mcycle(cpu_cnt_lo_width_c-1 downto 0) <= csr.mcycle_nxt(cpu_cnt_lo_width_c-1 downto 0);
2282 60 zero_gravi
        end if;
2283
      else
2284 61 zero_gravi
        csr.mcycle <= (others => '-');
2285
        csr.mcycle_ovfl(0) <= '-';
2286 42 zero_gravi
      end if;
2287
 
2288
      -- [m]cycleh --
2289 66 zero_gravi
      if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then
2290 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_mcycleh_c) then -- write access
2291
          csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0) <= csr.wdata(cpu_cnt_hi_width_c-1 downto 0);
2292 68 zero_gravi
        else -- automatic update
2293 61 zero_gravi
          csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0)) + unsigned(csr.mcycle_ovfl));
2294 60 zero_gravi
        end if;
2295
      else
2296
        csr.mcycleh <= (others => '-');
2297 42 zero_gravi
      end if;
2298
 
2299 60 zero_gravi
 
2300 42 zero_gravi
      -- [m]instret --
2301 66 zero_gravi
      if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then
2302 68 zero_gravi
        csr.minstret_ovfl(0) <= csr.minstret_nxt(csr.minstret_nxt'left) and (not csr.mcountinhibit_ir);
2303 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_minstret_c) then -- write access
2304 61 zero_gravi
          csr.minstret(cpu_cnt_lo_width_c-1 downto 0) <= csr.wdata(cpu_cnt_lo_width_c-1 downto 0);
2305 60 zero_gravi
        elsif (csr.mcountinhibit_ir = '0') and (cnt_event(hpmcnt_event_ir_c) = '1') then -- non-inhibited automatic update
2306 61 zero_gravi
          csr.minstret(cpu_cnt_lo_width_c-1 downto 0) <= csr.minstret_nxt(cpu_cnt_lo_width_c-1 downto 0);
2307 60 zero_gravi
        end if;
2308
      else
2309 61 zero_gravi
        csr.minstret <= (others => '-');
2310
        csr.minstret_ovfl(0) <= '-';
2311 42 zero_gravi
      end if;
2312
 
2313
      -- [m]instreth --
2314 66 zero_gravi
      if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then
2315 60 zero_gravi
        if (csr.we = '1') and (csr.addr = csr_minstreth_c) then -- write access
2316
          csr.minstreth(cpu_cnt_hi_width_c-1 downto 0) <= csr.wdata(cpu_cnt_hi_width_c-1 downto 0);
2317 68 zero_gravi
        else -- automatic update
2318 61 zero_gravi
          csr.minstreth(cpu_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.minstreth(cpu_cnt_hi_width_c-1 downto 0)) + unsigned(csr.minstret_ovfl));
2319 60 zero_gravi
        end if;
2320
      else
2321
        csr.minstreth <= (others => '-');
2322 42 zero_gravi
      end if;
2323
 
2324 60 zero_gravi
 
2325 45 zero_gravi
      -- [machine] hardware performance monitors (counters) --
2326 42 zero_gravi
      for i in 0 to HPM_NUM_CNTS-1 loop
2327 60 zero_gravi
 
2328
        -- [m]hpmcounter* --
2329 66 zero_gravi
        if (hpm_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then
2330 68 zero_gravi
          csr.mhpmcounter_ovfl(i)(0) <= csr.mhpmcounter_nxt(i)(csr.mhpmcounter_nxt(i)'left) and (not csr.mcountinhibit_hpm(i));
2331 56 zero_gravi
          if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3_c) + i)) then -- write access
2332 61 zero_gravi
            csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.wdata(hpm_cnt_lo_width_c-1 downto 0);
2333 56 zero_gravi
          elsif (csr.mcountinhibit_hpm(i) = '0') and (hpmcnt_trigger(i) = '1') then -- non-inhibited automatic update
2334 61 zero_gravi
            csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.mhpmcounter_nxt(i)(hpm_cnt_lo_width_c-1 downto 0);
2335 56 zero_gravi
          end if;
2336 60 zero_gravi
        else
2337 61 zero_gravi
          csr.mhpmcounter(i) <= (others => '-');
2338
          csr.mhpmcounter_ovfl(i)(0) <= '-';
2339 42 zero_gravi
        end if;
2340
 
2341
        -- [m]hpmcounter*h --
2342 66 zero_gravi
        if (hpm_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then
2343 56 zero_gravi
          if (csr.we = '1') and (csr.addr = std_ulogic_vector(unsigned(csr_mhpmcounter3h_c) + i)) then -- write access
2344
            csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0) <= csr.wdata(hpm_cnt_hi_width_c-1 downto 0);
2345 68 zero_gravi
          else -- automatic update
2346 61 zero_gravi
            csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0) <= std_ulogic_vector(unsigned(csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0)) + unsigned(csr.mhpmcounter_ovfl(i)));
2347 56 zero_gravi
          end if;
2348 60 zero_gravi
        else
2349
          csr.mhpmcounterh(i) <= (others => '-');
2350 42 zero_gravi
        end if;
2351 60 zero_gravi
 
2352 34 zero_gravi
      end loop; -- i
2353 42 zero_gravi
 
2354 34 zero_gravi
    end if;
2355 42 zero_gravi
  end process csr_counters;
2356 34 zero_gravi
 
2357 60 zero_gravi
 
2358 61 zero_gravi
  -- mcycle & minstret increment LOW --
2359 68 zero_gravi
  csr.mcycle_nxt   <= std_ulogic_vector(unsigned('0' & csr.mcycle)   + 1);
2360 61 zero_gravi
  csr.minstret_nxt <= std_ulogic_vector(unsigned('0' & csr.minstret) + 1);
2361
 
2362
  -- hpm counter increment LOW --
2363
  hmp_cnt_lo_inc:
2364
  for i in 0 to HPM_NUM_CNTS-1 generate
2365
    csr.mhpmcounter_nxt(i) <= std_ulogic_vector(unsigned('0' & csr.mhpmcounter(i)) + 1);
2366
  end generate;
2367
 
2368
 
2369
  -- hpm counter read --
2370 42 zero_gravi
  hpm_rd_dummy: process(csr)
2371
  begin
2372
    csr.mhpmcounter_rd  <= (others => (others => '0'));
2373
    csr.mhpmcounterh_rd <= (others => (others => '0'));
2374 66 zero_gravi
    if (HPM_NUM_CNTS /= 0) and (CPU_EXTENSION_RISCV_Zihpm = true) then
2375 56 zero_gravi
      for i in 0 to HPM_NUM_CNTS-1 loop
2376
        if (hpm_cnt_lo_width_c > 0) then
2377 59 zero_gravi
          csr.mhpmcounter_rd(i)(hpm_cnt_lo_width_c-1 downto 0) <= csr.mhpmcounter(i)(hpm_cnt_lo_width_c-1 downto 0);
2378 56 zero_gravi
        end if;
2379
        if (hpm_cnt_hi_width_c > 0) then
2380
          csr.mhpmcounterh_rd(i)(hpm_cnt_hi_width_c-1 downto 0) <= csr.mhpmcounterh(i)(hpm_cnt_hi_width_c-1 downto 0);
2381
        end if;
2382
      end loop; -- i
2383
    end if;
2384 42 zero_gravi
  end process hpm_rd_dummy;
2385 34 zero_gravi
 
2386 42 zero_gravi
 
2387 56 zero_gravi
  -- Hardware Performance Monitor - Counter Event Control -----------------------------------
2388 42 zero_gravi
  -- -------------------------------------------------------------------------------------------
2389 56 zero_gravi
  hpmcnt_ctrl: process(rstn_i, clk_i)
2390 42 zero_gravi
  begin
2391 56 zero_gravi
    if (rstn_i = '0') then
2392
      hpmcnt_trigger <= (others => def_rst_val_c);
2393
    elsif rising_edge(clk_i) then
2394 47 zero_gravi
      -- enable selected triggers by ANDing actual events and according CSR configuration bits --
2395
      -- OR everything to see if counter should increment --
2396 42 zero_gravi
      hpmcnt_trigger <= (others => '0'); -- default
2397 56 zero_gravi
      if (HPM_NUM_CNTS /= 0) then
2398
        for i in 0 to HPM_NUM_CNTS-1 loop
2399 60 zero_gravi
          hpmcnt_trigger(i) <= or_reduce_f(cnt_event and csr.mhpmevent(i)(cnt_event'left downto 0));
2400 56 zero_gravi
        end loop; -- i
2401
      end if;
2402 42 zero_gravi
    end if;
2403
  end process hpmcnt_ctrl;
2404
 
2405 56 zero_gravi
  -- counter event trigger - RISC-V-specific --
2406 68 zero_gravi
  cnt_event(hpmcnt_event_cy_c)      <= not execute_engine.sleep; -- active cycle
2407 70 zero_gravi
  cnt_event(hpmcnt_event_never_c)   <= '0'; -- "never"
2408
  cnt_event(hpmcnt_event_ir_c)      <= '1' when (execute_engine.state = EXECUTE) else '0'; -- (any) retired instruction
2409 42 zero_gravi
 
2410
  -- counter event trigger - custom / NEORV32-specific --
2411 68 zero_gravi
  cnt_event(hpmcnt_event_cir_c)     <= '1' when (execute_engine.state = EXECUTE)      and (execute_engine.is_ci = '1')             else '0'; -- retired compressed instruction
2412
  cnt_event(hpmcnt_event_wait_if_c) <= '1' when (fetch_engine.state   = IFETCH_ISSUE) and (fetch_engine.state_prev = IFETCH_ISSUE) else '0'; -- instruction fetch memory wait cycle
2413
  cnt_event(hpmcnt_event_wait_ii_c) <= '1' when (execute_engine.state = DISPATCH)     and (execute_engine.state_prev = DISPATCH)   else '0'; -- instruction issue wait cycle
2414
  cnt_event(hpmcnt_event_wait_mc_c) <= '1' when (execute_engine.state = ALU_WAIT)                                                  else '0'; -- multi-cycle alu-operation wait cycle
2415 42 zero_gravi
 
2416 68 zero_gravi
  cnt_event(hpmcnt_event_load_c)    <= '1' when                                          (ctrl(ctrl_bus_rd_c) = '1')               else '0'; -- load operation
2417
  cnt_event(hpmcnt_event_store_c)   <= '1' when                                          (ctrl(ctrl_bus_wr_c) = '1')               else '0'; -- store operation
2418
  cnt_event(hpmcnt_event_wait_ls_c) <= '1' when (execute_engine.state = LOADSTORE_2) and (execute_engine.state_prev = LOADSTORE_2) else '0'; -- load/store memory wait cycle
2419 42 zero_gravi
 
2420 68 zero_gravi
  cnt_event(hpmcnt_event_jump_c)    <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '1') else '0'; -- jump (unconditional)
2421
  cnt_event(hpmcnt_event_branch_c)  <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') else '0'; -- branch (conditional, taken or not taken)
2422
  cnt_event(hpmcnt_event_tbranch_c) <= '1' when (execute_engine.state = BRANCH) and (execute_engine.i_reg(instr_opcode_lsb_c+2) = '0') and (execute_engine.branch_taken = '1') else '0'; -- taken branch (conditional)
2423 42 zero_gravi
 
2424 68 zero_gravi
  cnt_event(hpmcnt_event_trap_c)    <= '1' when (trap_ctrl.env_start_ack = '1')                                    else '0'; -- entered trap
2425
  cnt_event(hpmcnt_event_illegal_c) <= '1' when (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause = trap_iil_c) else '0'; -- illegal operation
2426 42 zero_gravi
 
2427
 
2428 52 zero_gravi
  -- Control and Status Registers - Read Access ---------------------------------------------
2429 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2430 56 zero_gravi
  csr_read_access: process(rstn_i, clk_i)
2431 64 zero_gravi
    variable csr_addr_v : std_ulogic_vector(11 downto 0);
2432 2 zero_gravi
  begin
2433 61 zero_gravi
    if rising_edge(clk_i) then
2434 35 zero_gravi
      csr.rdata <= (others => '0'); -- default output
2435 65 zero_gravi
      if (CPU_EXTENSION_RISCV_Zicsr = true) then
2436 64 zero_gravi
        csr_addr_v(11 downto 10) := csr.addr(11 downto 10);
2437
        csr_addr_v(09 downto 08) := (others => csr.addr(8)); -- !!! WARNING: MACHINE (11) and USER (00) registers ONLY !!!
2438
        csr_addr_v(07 downto 00) := csr.addr(07 downto 00);
2439
        case csr_addr_v is
2440 11 zero_gravi
 
2441 58 zero_gravi
          -- floating-point CSRs --
2442 52 zero_gravi
          -- --------------------------------------------------------------------
2443 59 zero_gravi
          when csr_fflags_c => -- fflags (r/w): floating-point (FPU) exception flags
2444
            if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(4 downto 0) <= csr.fflags; else NULL; end if;
2445
          when csr_frm_c => -- frm (r/w): floating-point (FPU) rounding mode
2446
            if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(2 downto 0) <= csr.frm; else NULL; end if;
2447
          when csr_fcsr_c => -- fcsr (r/w): floating-point (FPU) control/status (frm + fflags)
2448
            if (CPU_EXTENSION_RISCV_Zfinx = true) then csr.rdata(7 downto 5) <= csr.frm; csr.rdata(4 downto 0) <= csr.fflags; else NULL; end if;
2449 52 zero_gravi
 
2450 11 zero_gravi
          -- machine trap setup --
2451 59 zero_gravi
          -- --------------------------------------------------------------------
2452
          when csr_mstatus_c => -- mstatus (r/w): machine status register
2453 41 zero_gravi
            csr.rdata(03) <= csr.mstatus_mie; -- MIE
2454 27 zero_gravi
            csr.rdata(07) <= csr.mstatus_mpie; -- MPIE
2455 29 zero_gravi
            csr.rdata(11) <= csr.mstatus_mpp(0); -- MPP: machine previous privilege mode low
2456
            csr.rdata(12) <= csr.mstatus_mpp(1); -- MPP: machine previous privilege mode high
2457 59 zero_gravi
          when csr_misa_c => -- misa (r/-): ISA and extensions
2458 39 zero_gravi
            csr.rdata(00) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_A);     -- A CPU extension
2459 66 zero_gravi
            csr.rdata(01) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_B);     -- B CPU extension
2460 27 zero_gravi
            csr.rdata(02) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_C);     -- C CPU extension
2461
            csr.rdata(04) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_E);     -- E CPU extension
2462
            csr.rdata(08) <= not bool_to_ulogic_f(CPU_EXTENSION_RISCV_E); -- I CPU extension (if not E)
2463
            csr.rdata(12) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_M);     -- M CPU extension
2464
            csr.rdata(20) <= bool_to_ulogic_f(CPU_EXTENSION_RISCV_U);     -- U CPU extension
2465
            csr.rdata(23) <= '1';                                         -- X CPU extension (non-std extensions)
2466
            csr.rdata(30) <= '1'; -- 32-bit architecture (MXL lo)
2467
            csr.rdata(31) <= '0'; -- 32-bit architecture (MXL hi)
2468 59 zero_gravi
          when csr_mie_c => -- mie (r/w): machine interrupt-enable register
2469 27 zero_gravi
            csr.rdata(03) <= csr.mie_msie; -- machine software IRQ enable
2470
            csr.rdata(07) <= csr.mie_mtie; -- machine timer IRQ enable
2471
            csr.rdata(11) <= csr.mie_meie; -- machine external IRQ enable
2472 48 zero_gravi
            for i in 0 to 15 loop -- fast interrupt channels 0..15 enable
2473
              csr.rdata(16+i) <= csr.mie_firqe(i);
2474
            end loop; -- i
2475 59 zero_gravi
          when csr_mtvec_c => -- mtvec (r/w): machine trap-handler base address (for ALL exceptions)
2476 27 zero_gravi
            csr.rdata <= csr.mtvec(data_width_c-1 downto 2) & "00"; -- mtvec.MODE=0
2477 59 zero_gravi
          when csr_mcounteren_c => -- mcounteren (r/w): machine counter enable register
2478 58 zero_gravi
            if (CPU_EXTENSION_RISCV_U = false) then -- this CSR is hardwired to zero if user mode is not implemented
2479
              NULL;
2480
            else
2481 51 zero_gravi
              csr.rdata(0) <= csr.mcounteren_cy; -- enable user-level access to cycle[h]
2482
              csr.rdata(1) <= csr.mcounteren_tm; -- enable user-level access to time[h]
2483
              csr.rdata(2) <= csr.mcounteren_ir; -- enable user-level access to instret[h]
2484
            end if;
2485 11 zero_gravi
 
2486
          -- machine trap handling --
2487 59 zero_gravi
          -- --------------------------------------------------------------------
2488
          when csr_mscratch_c => -- mscratch (r/w): machine scratch register
2489 27 zero_gravi
            csr.rdata <= csr.mscratch;
2490 59 zero_gravi
          when csr_mepc_c => -- mepc (r/w): machine exception program counter
2491 27 zero_gravi
            csr.rdata <= csr.mepc(data_width_c-1 downto 1) & '0';
2492 59 zero_gravi
          when csr_mcause_c => -- mcause (r/w): machine trap cause
2493 49 zero_gravi
            csr.rdata(31) <= csr.mcause(csr.mcause'left);
2494
            csr.rdata(csr.mcause'left-1 downto 0) <= csr.mcause(csr.mcause'left-1 downto 0);
2495 62 zero_gravi
          when csr_mtval_c => -- mtval (r/-): machine bad address or instruction
2496 27 zero_gravi
            csr.rdata <= csr.mtval;
2497 69 zero_gravi
          when csr_mip_c => -- mip (r/w): machine interrupt pending
2498 58 zero_gravi
            csr.rdata(03) <= trap_ctrl.irq_buf(interrupt_msw_irq_c);
2499
            csr.rdata(07) <= trap_ctrl.irq_buf(interrupt_mtime_irq_c);
2500
            csr.rdata(11) <= trap_ctrl.irq_buf(interrupt_mext_irq_c);
2501 48 zero_gravi
            for i in 0 to 15 loop -- fast interrupt channels 0..15 pending
2502 58 zero_gravi
              csr.rdata(16+i) <= trap_ctrl.irq_buf(interrupt_firq_0_c+i);
2503 48 zero_gravi
            end loop; -- i
2504 11 zero_gravi
 
2505 63 zero_gravi
          -- physical memory protection - configuration (r/w) --
2506 59 zero_gravi
          -- --------------------------------------------------------------------
2507 63 zero_gravi
          when csr_pmpcfg0_c  => if (PMP_NUM_REGIONS > 00) then csr.rdata <= csr.pmpcfg_rd(03) & csr.pmpcfg_rd(02) & csr.pmpcfg_rd(01) & csr.pmpcfg_rd(00); else NULL; end if;
2508
          when csr_pmpcfg1_c  => if (PMP_NUM_REGIONS > 03) then csr.rdata <= csr.pmpcfg_rd(07) & csr.pmpcfg_rd(06) & csr.pmpcfg_rd(05) & csr.pmpcfg_rd(04); else NULL; end if;
2509
          when csr_pmpcfg2_c  => if (PMP_NUM_REGIONS > 07) then csr.rdata <= csr.pmpcfg_rd(11) & csr.pmpcfg_rd(10) & csr.pmpcfg_rd(09) & csr.pmpcfg_rd(08); else NULL; end if;
2510
          when csr_pmpcfg3_c  => if (PMP_NUM_REGIONS > 11) then csr.rdata <= csr.pmpcfg_rd(15) & csr.pmpcfg_rd(14) & csr.pmpcfg_rd(13) & csr.pmpcfg_rd(12); else NULL; end if;
2511
          when csr_pmpcfg4_c  => if (PMP_NUM_REGIONS > 15) then csr.rdata <= csr.pmpcfg_rd(19) & csr.pmpcfg_rd(18) & csr.pmpcfg_rd(17) & csr.pmpcfg_rd(16); else NULL; end if;
2512
          when csr_pmpcfg5_c  => if (PMP_NUM_REGIONS > 19) then csr.rdata <= csr.pmpcfg_rd(23) & csr.pmpcfg_rd(22) & csr.pmpcfg_rd(21) & csr.pmpcfg_rd(20); else NULL; end if;
2513
          when csr_pmpcfg6_c  => if (PMP_NUM_REGIONS > 23) then csr.rdata <= csr.pmpcfg_rd(27) & csr.pmpcfg_rd(26) & csr.pmpcfg_rd(25) & csr.pmpcfg_rd(24); else NULL; end if;
2514
          when csr_pmpcfg7_c  => if (PMP_NUM_REGIONS > 27) then csr.rdata <= csr.pmpcfg_rd(31) & csr.pmpcfg_rd(30) & csr.pmpcfg_rd(29) & csr.pmpcfg_rd(28); else NULL; end if;
2515
          when csr_pmpcfg8_c  => if (PMP_NUM_REGIONS > 31) then csr.rdata <= csr.pmpcfg_rd(35) & csr.pmpcfg_rd(34) & csr.pmpcfg_rd(33) & csr.pmpcfg_rd(32); else NULL; end if;
2516
          when csr_pmpcfg9_c  => if (PMP_NUM_REGIONS > 35) then csr.rdata <= csr.pmpcfg_rd(39) & csr.pmpcfg_rd(38) & csr.pmpcfg_rd(37) & csr.pmpcfg_rd(36); else NULL; end if;
2517
          when csr_pmpcfg10_c => if (PMP_NUM_REGIONS > 39) then csr.rdata <= csr.pmpcfg_rd(43) & csr.pmpcfg_rd(42) & csr.pmpcfg_rd(41) & csr.pmpcfg_rd(40); else NULL; end if;
2518
          when csr_pmpcfg11_c => if (PMP_NUM_REGIONS > 43) then csr.rdata <= csr.pmpcfg_rd(47) & csr.pmpcfg_rd(46) & csr.pmpcfg_rd(45) & csr.pmpcfg_rd(44); else NULL; end if;
2519
          when csr_pmpcfg12_c => if (PMP_NUM_REGIONS > 47) then csr.rdata <= csr.pmpcfg_rd(51) & csr.pmpcfg_rd(50) & csr.pmpcfg_rd(49) & csr.pmpcfg_rd(48); else NULL; end if;
2520
          when csr_pmpcfg13_c => if (PMP_NUM_REGIONS > 51) then csr.rdata <= csr.pmpcfg_rd(55) & csr.pmpcfg_rd(54) & csr.pmpcfg_rd(53) & csr.pmpcfg_rd(52); else NULL; end if;
2521
          when csr_pmpcfg14_c => if (PMP_NUM_REGIONS > 55) then csr.rdata <= csr.pmpcfg_rd(59) & csr.pmpcfg_rd(58) & csr.pmpcfg_rd(57) & csr.pmpcfg_rd(56); else NULL; end if;
2522
          when csr_pmpcfg15_c => if (PMP_NUM_REGIONS > 59) then csr.rdata <= csr.pmpcfg_rd(63) & csr.pmpcfg_rd(62) & csr.pmpcfg_rd(61) & csr.pmpcfg_rd(60); else NULL; end if;
2523 15 zero_gravi
 
2524 63 zero_gravi
          -- physical memory protection - addresses (r/w) --
2525 59 zero_gravi
          -- --------------------------------------------------------------------
2526 63 zero_gravi
          when csr_pmpaddr0_c  => if (PMP_NUM_REGIONS > 00) then csr.rdata <= csr.pmpaddr(00); else NULL; end if;
2527
          when csr_pmpaddr1_c  => if (PMP_NUM_REGIONS > 01) then csr.rdata <= csr.pmpaddr(01); else NULL; end if;
2528
          when csr_pmpaddr2_c  => if (PMP_NUM_REGIONS > 02) then csr.rdata <= csr.pmpaddr(02); else NULL; end if;
2529
          when csr_pmpaddr3_c  => if (PMP_NUM_REGIONS > 03) then csr.rdata <= csr.pmpaddr(03); else NULL; end if;
2530
          when csr_pmpaddr4_c  => if (PMP_NUM_REGIONS > 04) then csr.rdata <= csr.pmpaddr(04); else NULL; end if;
2531
          when csr_pmpaddr5_c  => if (PMP_NUM_REGIONS > 05) then csr.rdata <= csr.pmpaddr(05); else NULL; end if;
2532
          when csr_pmpaddr6_c  => if (PMP_NUM_REGIONS > 06) then csr.rdata <= csr.pmpaddr(06); else NULL; end if;
2533
          when csr_pmpaddr7_c  => if (PMP_NUM_REGIONS > 07) then csr.rdata <= csr.pmpaddr(07); else NULL; end if;
2534
          when csr_pmpaddr8_c  => if (PMP_NUM_REGIONS > 08) then csr.rdata <= csr.pmpaddr(08); else NULL; end if;
2535
          when csr_pmpaddr9_c  => if (PMP_NUM_REGIONS > 09) then csr.rdata <= csr.pmpaddr(09); else NULL; end if;
2536
          when csr_pmpaddr10_c => if (PMP_NUM_REGIONS > 10) then csr.rdata <= csr.pmpaddr(10); else NULL; end if;
2537
          when csr_pmpaddr11_c => if (PMP_NUM_REGIONS > 11) then csr.rdata <= csr.pmpaddr(11); else NULL; end if;
2538
          when csr_pmpaddr12_c => if (PMP_NUM_REGIONS > 12) then csr.rdata <= csr.pmpaddr(12); else NULL; end if;
2539
          when csr_pmpaddr13_c => if (PMP_NUM_REGIONS > 13) then csr.rdata <= csr.pmpaddr(13); else NULL; end if;
2540
          when csr_pmpaddr14_c => if (PMP_NUM_REGIONS > 14) then csr.rdata <= csr.pmpaddr(14); else NULL; end if;
2541
          when csr_pmpaddr15_c => if (PMP_NUM_REGIONS > 15) then csr.rdata <= csr.pmpaddr(15); else NULL; end if;
2542
          when csr_pmpaddr16_c => if (PMP_NUM_REGIONS > 16) then csr.rdata <= csr.pmpaddr(16); else NULL; end if;
2543
          when csr_pmpaddr17_c => if (PMP_NUM_REGIONS > 17) then csr.rdata <= csr.pmpaddr(17); else NULL; end if;
2544
          when csr_pmpaddr18_c => if (PMP_NUM_REGIONS > 18) then csr.rdata <= csr.pmpaddr(18); else NULL; end if;
2545
          when csr_pmpaddr19_c => if (PMP_NUM_REGIONS > 19) then csr.rdata <= csr.pmpaddr(19); else NULL; end if;
2546
          when csr_pmpaddr20_c => if (PMP_NUM_REGIONS > 20) then csr.rdata <= csr.pmpaddr(20); else NULL; end if;
2547
          when csr_pmpaddr21_c => if (PMP_NUM_REGIONS > 21) then csr.rdata <= csr.pmpaddr(21); else NULL; end if;
2548
          when csr_pmpaddr22_c => if (PMP_NUM_REGIONS > 22) then csr.rdata <= csr.pmpaddr(22); else NULL; end if;
2549
          when csr_pmpaddr23_c => if (PMP_NUM_REGIONS > 23) then csr.rdata <= csr.pmpaddr(23); else NULL; end if;
2550
          when csr_pmpaddr24_c => if (PMP_NUM_REGIONS > 24) then csr.rdata <= csr.pmpaddr(24); else NULL; end if;
2551
          when csr_pmpaddr25_c => if (PMP_NUM_REGIONS > 25) then csr.rdata <= csr.pmpaddr(25); else NULL; end if;
2552
          when csr_pmpaddr26_c => if (PMP_NUM_REGIONS > 26) then csr.rdata <= csr.pmpaddr(26); else NULL; end if;
2553
          when csr_pmpaddr27_c => if (PMP_NUM_REGIONS > 27) then csr.rdata <= csr.pmpaddr(27); else NULL; end if;
2554
          when csr_pmpaddr28_c => if (PMP_NUM_REGIONS > 28) then csr.rdata <= csr.pmpaddr(28); else NULL; end if;
2555
          when csr_pmpaddr29_c => if (PMP_NUM_REGIONS > 29) then csr.rdata <= csr.pmpaddr(29); else NULL; end if;
2556
          when csr_pmpaddr30_c => if (PMP_NUM_REGIONS > 30) then csr.rdata <= csr.pmpaddr(30); else NULL; end if;
2557
          when csr_pmpaddr31_c => if (PMP_NUM_REGIONS > 31) then csr.rdata <= csr.pmpaddr(31); else NULL; end if;
2558
          when csr_pmpaddr32_c => if (PMP_NUM_REGIONS > 32) then csr.rdata <= csr.pmpaddr(32); else NULL; end if;
2559
          when csr_pmpaddr33_c => if (PMP_NUM_REGIONS > 33) then csr.rdata <= csr.pmpaddr(33); else NULL; end if;
2560
          when csr_pmpaddr34_c => if (PMP_NUM_REGIONS > 34) then csr.rdata <= csr.pmpaddr(34); else NULL; end if;
2561
          when csr_pmpaddr35_c => if (PMP_NUM_REGIONS > 35) then csr.rdata <= csr.pmpaddr(35); else NULL; end if;
2562
          when csr_pmpaddr36_c => if (PMP_NUM_REGIONS > 36) then csr.rdata <= csr.pmpaddr(36); else NULL; end if;
2563
          when csr_pmpaddr37_c => if (PMP_NUM_REGIONS > 37) then csr.rdata <= csr.pmpaddr(37); else NULL; end if;
2564
          when csr_pmpaddr38_c => if (PMP_NUM_REGIONS > 38) then csr.rdata <= csr.pmpaddr(38); else NULL; end if;
2565
          when csr_pmpaddr39_c => if (PMP_NUM_REGIONS > 39) then csr.rdata <= csr.pmpaddr(39); else NULL; end if;
2566
          when csr_pmpaddr40_c => if (PMP_NUM_REGIONS > 40) then csr.rdata <= csr.pmpaddr(40); else NULL; end if;
2567
          when csr_pmpaddr41_c => if (PMP_NUM_REGIONS > 41) then csr.rdata <= csr.pmpaddr(41); else NULL; end if;
2568
          when csr_pmpaddr42_c => if (PMP_NUM_REGIONS > 42) then csr.rdata <= csr.pmpaddr(42); else NULL; end if;
2569
          when csr_pmpaddr43_c => if (PMP_NUM_REGIONS > 43) then csr.rdata <= csr.pmpaddr(43); else NULL; end if;
2570
          when csr_pmpaddr44_c => if (PMP_NUM_REGIONS > 44) then csr.rdata <= csr.pmpaddr(44); else NULL; end if;
2571
          when csr_pmpaddr45_c => if (PMP_NUM_REGIONS > 45) then csr.rdata <= csr.pmpaddr(45); else NULL; end if;
2572
          when csr_pmpaddr46_c => if (PMP_NUM_REGIONS > 46) then csr.rdata <= csr.pmpaddr(46); else NULL; end if;
2573
          when csr_pmpaddr47_c => if (PMP_NUM_REGIONS > 47) then csr.rdata <= csr.pmpaddr(47); else NULL; end if;
2574
          when csr_pmpaddr48_c => if (PMP_NUM_REGIONS > 48) then csr.rdata <= csr.pmpaddr(48); else NULL; end if;
2575
          when csr_pmpaddr49_c => if (PMP_NUM_REGIONS > 49) then csr.rdata <= csr.pmpaddr(49); else NULL; end if;
2576
          when csr_pmpaddr50_c => if (PMP_NUM_REGIONS > 50) then csr.rdata <= csr.pmpaddr(50); else NULL; end if;
2577
          when csr_pmpaddr51_c => if (PMP_NUM_REGIONS > 51) then csr.rdata <= csr.pmpaddr(51); else NULL; end if;
2578
          when csr_pmpaddr52_c => if (PMP_NUM_REGIONS > 52) then csr.rdata <= csr.pmpaddr(52); else NULL; end if;
2579
          when csr_pmpaddr53_c => if (PMP_NUM_REGIONS > 53) then csr.rdata <= csr.pmpaddr(53); else NULL; end if;
2580
          when csr_pmpaddr54_c => if (PMP_NUM_REGIONS > 54) then csr.rdata <= csr.pmpaddr(54); else NULL; end if;
2581
          when csr_pmpaddr55_c => if (PMP_NUM_REGIONS > 55) then csr.rdata <= csr.pmpaddr(55); else NULL; end if;
2582
          when csr_pmpaddr56_c => if (PMP_NUM_REGIONS > 56) then csr.rdata <= csr.pmpaddr(56); else NULL; end if;
2583
          when csr_pmpaddr57_c => if (PMP_NUM_REGIONS > 57) then csr.rdata <= csr.pmpaddr(57); else NULL; end if;
2584
          when csr_pmpaddr58_c => if (PMP_NUM_REGIONS > 58) then csr.rdata <= csr.pmpaddr(58); else NULL; end if;
2585
          when csr_pmpaddr59_c => if (PMP_NUM_REGIONS > 59) then csr.rdata <= csr.pmpaddr(59); else NULL; end if;
2586
          when csr_pmpaddr60_c => if (PMP_NUM_REGIONS > 60) then csr.rdata <= csr.pmpaddr(60); else NULL; end if;
2587
          when csr_pmpaddr61_c => if (PMP_NUM_REGIONS > 61) then csr.rdata <= csr.pmpaddr(61); else NULL; end if;
2588
          when csr_pmpaddr62_c => if (PMP_NUM_REGIONS > 62) then csr.rdata <= csr.pmpaddr(62); else NULL; end if;
2589
          when csr_pmpaddr63_c => if (PMP_NUM_REGIONS > 63) then csr.rdata <= csr.pmpaddr(63); else NULL; end if;
2590 15 zero_gravi
 
2591 41 zero_gravi
          -- machine counter setup --
2592
          -- --------------------------------------------------------------------
2593 59 zero_gravi
          when csr_mcountinhibit_c => -- mcountinhibit (r/w): machine counter-inhibit register
2594 41 zero_gravi
            csr.rdata(0) <= csr.mcountinhibit_cy; -- enable auto-increment of [m]cycle[h] counter
2595
            csr.rdata(2) <= csr.mcountinhibit_ir; -- enable auto-increment of [m]instret[h] counter
2596 63 zero_gravi
            if (HPM_NUM_CNTS > 0) then -- any HPMs available?
2597
              csr.rdata(csr.mcountinhibit_hpm'left+3 downto 3) <= csr.mcountinhibit_hpm; -- enable auto-increment of [m]hpmcounterx[h] counter
2598
            end if;
2599 41 zero_gravi
 
2600 63 zero_gravi
          -- machine performance-monitoring event selector (r/w) --
2601 59 zero_gravi
          -- --------------------------------------------------------------------
2602 66 zero_gravi
          when csr_mhpmevent3_c  => if (HPM_NUM_CNTS > 00) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(00); else NULL; end if;
2603
          when csr_mhpmevent4_c  => if (HPM_NUM_CNTS > 01) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(01); else NULL; end if;
2604
          when csr_mhpmevent5_c  => if (HPM_NUM_CNTS > 02) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(02); else NULL; end if;
2605
          when csr_mhpmevent6_c  => if (HPM_NUM_CNTS > 03) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(03); else NULL; end if;
2606
          when csr_mhpmevent7_c  => if (HPM_NUM_CNTS > 04) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(04); else NULL; end if;
2607
          when csr_mhpmevent8_c  => if (HPM_NUM_CNTS > 05) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(05); else NULL; end if;
2608
          when csr_mhpmevent9_c  => if (HPM_NUM_CNTS > 06) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(06); else NULL; end if;
2609
          when csr_mhpmevent10_c => if (HPM_NUM_CNTS > 07) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(07); else NULL; end if;
2610
          when csr_mhpmevent11_c => if (HPM_NUM_CNTS > 08) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(08); else NULL; end if;
2611
          when csr_mhpmevent12_c => if (HPM_NUM_CNTS > 09) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(09); else NULL; end if;
2612
          when csr_mhpmevent13_c => if (HPM_NUM_CNTS > 10) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(10); else NULL; end if;
2613
          when csr_mhpmevent14_c => if (HPM_NUM_CNTS > 11) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(11); else NULL; end if;
2614
          when csr_mhpmevent15_c => if (HPM_NUM_CNTS > 12) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(12); else NULL; end if;
2615
          when csr_mhpmevent16_c => if (HPM_NUM_CNTS > 13) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(13); else NULL; end if;
2616
          when csr_mhpmevent17_c => if (HPM_NUM_CNTS > 14) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(14); else NULL; end if;
2617
          when csr_mhpmevent18_c => if (HPM_NUM_CNTS > 15) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(15); else NULL; end if;
2618
          when csr_mhpmevent19_c => if (HPM_NUM_CNTS > 16) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(16); else NULL; end if;
2619
          when csr_mhpmevent20_c => if (HPM_NUM_CNTS > 17) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(17); else NULL; end if;
2620
          when csr_mhpmevent21_c => if (HPM_NUM_CNTS > 18) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(18); else NULL; end if;
2621
          when csr_mhpmevent22_c => if (HPM_NUM_CNTS > 19) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(19); else NULL; end if;
2622
          when csr_mhpmevent23_c => if (HPM_NUM_CNTS > 20) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(20); else NULL; end if;
2623
          when csr_mhpmevent24_c => if (HPM_NUM_CNTS > 21) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(21); else NULL; end if;
2624
          when csr_mhpmevent25_c => if (HPM_NUM_CNTS > 22) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(22); else NULL; end if;
2625
          when csr_mhpmevent26_c => if (HPM_NUM_CNTS > 23) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(23); else NULL; end if;
2626
          when csr_mhpmevent27_c => if (HPM_NUM_CNTS > 24) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(24); else NULL; end if;
2627
          when csr_mhpmevent28_c => if (HPM_NUM_CNTS > 25) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(25); else NULL; end if;
2628
          when csr_mhpmevent29_c => if (HPM_NUM_CNTS > 26) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(26); else NULL; end if;
2629
          when csr_mhpmevent30_c => if (HPM_NUM_CNTS > 27) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(27); else NULL; end if;
2630
          when csr_mhpmevent31_c => if (HPM_NUM_CNTS > 28) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata(hpmcnt_event_size_c-1 downto 0) <= csr.mhpmevent(28); else NULL; end if;
2631 42 zero_gravi
 
2632 29 zero_gravi
          -- counters and timers --
2633 59 zero_gravi
          -- --------------------------------------------------------------------
2634
          when csr_cycle_c | csr_mcycle_c => -- [m]cycle (r/w): Cycle counter LOW
2635 66 zero_gravi
            if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_lo_width_c-1 downto 0) <= csr.mcycle(cpu_cnt_lo_width_c-1 downto 0); else NULL; end if;
2636 59 zero_gravi
          when csr_cycleh_c | csr_mcycleh_c => -- [m]cycleh (r/w): Cycle counter HIGH
2637 66 zero_gravi
            if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_hi_width_c-1 downto 0) <= csr.mcycleh(cpu_cnt_hi_width_c-1 downto 0); else NULL; end if;
2638 58 zero_gravi
 
2639 59 zero_gravi
          when csr_instret_c | csr_minstret_c => -- [m]instret (r/w): Instructions-retired counter LOW
2640 66 zero_gravi
            if (cpu_cnt_lo_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_lo_width_c-1 downto 0) <= csr.minstret(cpu_cnt_lo_width_c-1 downto 0); else NULL; end if;
2641 59 zero_gravi
          when csr_instreth_c | csr_minstreth_c => -- [m]instreth (r/w): Instructions-retired counter HIGH
2642 66 zero_gravi
            if (cpu_cnt_hi_width_c > 0) and (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata(cpu_cnt_hi_width_c-1 downto 0) <= csr.minstreth(cpu_cnt_hi_width_c-1 downto 0); else NULL; end if;
2643 58 zero_gravi
 
2644 66 zero_gravi
          when csr_time_c => -- time (r/-): System time LOW (from MTIME unit)
2645
            if (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata <= time_i(31 downto 00); else NULL; end if;
2646
          when csr_timeh_c => -- timeh (r/-): System time HIGH (from MTIME unit)
2647
            if (CPU_EXTENSION_RISCV_Zicntr = true) then csr.rdata <= time_i(63 downto 32); else NULL; end if;
2648 11 zero_gravi
 
2649 42 zero_gravi
          -- hardware performance counters --
2650 59 zero_gravi
          -- --------------------------------------------------------------------
2651 63 zero_gravi
          -- low word (r/w) --
2652 66 zero_gravi
          when csr_mhpmcounter3_c   => if (HPM_NUM_CNTS > 00) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(00); else NULL; end if;
2653
          when csr_mhpmcounter4_c   => if (HPM_NUM_CNTS > 01) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(01); else NULL; end if;
2654
          when csr_mhpmcounter5_c   => if (HPM_NUM_CNTS > 02) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(02); else NULL; end if;
2655
          when csr_mhpmcounter6_c   => if (HPM_NUM_CNTS > 03) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(03); else NULL; end if;
2656
          when csr_mhpmcounter7_c   => if (HPM_NUM_CNTS > 04) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(04); else NULL; end if;
2657
          when csr_mhpmcounter8_c   => if (HPM_NUM_CNTS > 05) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(05); else NULL; end if;
2658
          when csr_mhpmcounter9_c   => if (HPM_NUM_CNTS > 06) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(06); else NULL; end if;
2659
          when csr_mhpmcounter10_c  => if (HPM_NUM_CNTS > 07) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(07); else NULL; end if;
2660
          when csr_mhpmcounter11_c  => if (HPM_NUM_CNTS > 08) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(08); else NULL; end if;
2661
          when csr_mhpmcounter12_c  => if (HPM_NUM_CNTS > 09) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(09); else NULL; end if;
2662
          when csr_mhpmcounter13_c  => if (HPM_NUM_CNTS > 10) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(10); else NULL; end if;
2663
          when csr_mhpmcounter14_c  => if (HPM_NUM_CNTS > 11) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(11); else NULL; end if;
2664
          when csr_mhpmcounter15_c  => if (HPM_NUM_CNTS > 12) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(12); else NULL; end if;
2665
          when csr_mhpmcounter16_c  => if (HPM_NUM_CNTS > 13) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(13); else NULL; end if;
2666
          when csr_mhpmcounter17_c  => if (HPM_NUM_CNTS > 14) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(14); else NULL; end if;
2667
          when csr_mhpmcounter18_c  => if (HPM_NUM_CNTS > 15) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(15); else NULL; end if;
2668
          when csr_mhpmcounter19_c  => if (HPM_NUM_CNTS > 16) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(16); else NULL; end if;
2669
          when csr_mhpmcounter20_c  => if (HPM_NUM_CNTS > 17) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(17); else NULL; end if;
2670
          when csr_mhpmcounter21_c  => if (HPM_NUM_CNTS > 18) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(18); else NULL; end if;
2671
          when csr_mhpmcounter22_c  => if (HPM_NUM_CNTS > 19) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(19); else NULL; end if;
2672
          when csr_mhpmcounter23_c  => if (HPM_NUM_CNTS > 20) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(20); else NULL; end if;
2673
          when csr_mhpmcounter24_c  => if (HPM_NUM_CNTS > 21) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(21); else NULL; end if;
2674
          when csr_mhpmcounter25_c  => if (HPM_NUM_CNTS > 22) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(22); else NULL; end if;
2675
          when csr_mhpmcounter26_c  => if (HPM_NUM_CNTS > 23) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(23); else NULL; end if;
2676
          when csr_mhpmcounter27_c  => if (HPM_NUM_CNTS > 24) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(24); else NULL; end if;
2677
          when csr_mhpmcounter28_c  => if (HPM_NUM_CNTS > 25) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(25); else NULL; end if;
2678
          when csr_mhpmcounter29_c  => if (HPM_NUM_CNTS > 26) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(26); else NULL; end if;
2679
          when csr_mhpmcounter30_c  => if (HPM_NUM_CNTS > 27) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(27); else NULL; end if;
2680
          when csr_mhpmcounter31_c  => if (HPM_NUM_CNTS > 28) and (CPU_EXTENSION_RISCV_Zihpm = true) then csr.rdata <= csr.mhpmcounter_rd(28); else NULL; end if;
2681 63 zero_gravi
          -- high word (r/w) --
2682 66 zero_gravi
          when csr_mhpmcounter3h_c  => if (HPM_NUM_CNTS > 00) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(00); else NULL; end if;
2683
          when csr_mhpmcounter4h_c  => if (HPM_NUM_CNTS > 01) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(01); else NULL; end if;
2684
          when csr_mhpmcounter5h_c  => if (HPM_NUM_CNTS > 02) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(02); else NULL; end if;
2685
          when csr_mhpmcounter6h_c  => if (HPM_NUM_CNTS > 03) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(03); else NULL; end if;
2686
          when csr_mhpmcounter7h_c  => if (HPM_NUM_CNTS > 04) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(04); else NULL; end if;
2687
          when csr_mhpmcounter8h_c  => if (HPM_NUM_CNTS > 05) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(05); else NULL; end if;
2688
          when csr_mhpmcounter9h_c  => if (HPM_NUM_CNTS > 06) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(06); else NULL; end if;
2689
          when csr_mhpmcounter10h_c => if (HPM_NUM_CNTS > 07) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(07); else NULL; end if;
2690
          when csr_mhpmcounter11h_c => if (HPM_NUM_CNTS > 08) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(08); else NULL; end if;
2691
          when csr_mhpmcounter12h_c => if (HPM_NUM_CNTS > 09) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(09); else NULL; end if;
2692
          when csr_mhpmcounter13h_c => if (HPM_NUM_CNTS > 10) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(10); else NULL; end if;
2693
          when csr_mhpmcounter14h_c => if (HPM_NUM_CNTS > 11) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(11); else NULL; end if;
2694
          when csr_mhpmcounter15h_c => if (HPM_NUM_CNTS > 12) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(12); else NULL; end if;
2695
          when csr_mhpmcounter16h_c => if (HPM_NUM_CNTS > 13) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(13); else NULL; end if;
2696
          when csr_mhpmcounter17h_c => if (HPM_NUM_CNTS > 14) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(14); else NULL; end if;
2697
          when csr_mhpmcounter18h_c => if (HPM_NUM_CNTS > 15) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(15); else NULL; end if;
2698
          when csr_mhpmcounter19h_c => if (HPM_NUM_CNTS > 16) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(16); else NULL; end if;
2699
          when csr_mhpmcounter20h_c => if (HPM_NUM_CNTS > 17) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(17); else NULL; end if;
2700
          when csr_mhpmcounter21h_c => if (HPM_NUM_CNTS > 18) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(18); else NULL; end if;
2701
          when csr_mhpmcounter22h_c => if (HPM_NUM_CNTS > 19) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(19); else NULL; end if;
2702
          when csr_mhpmcounter23h_c => if (HPM_NUM_CNTS > 20) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(20); else NULL; end if;
2703
          when csr_mhpmcounter24h_c => if (HPM_NUM_CNTS > 21) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(21); else NULL; end if;
2704
          when csr_mhpmcounter25h_c => if (HPM_NUM_CNTS > 22) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(22); else NULL; end if;
2705
          when csr_mhpmcounter26h_c => if (HPM_NUM_CNTS > 23) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(23); else NULL; end if;
2706
          when csr_mhpmcounter27h_c => if (HPM_NUM_CNTS > 24) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(24); else NULL; end if;
2707
          when csr_mhpmcounter28h_c => if (HPM_NUM_CNTS > 25) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(25); else NULL; end if;
2708
          when csr_mhpmcounter29h_c => if (HPM_NUM_CNTS > 26) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(26); else NULL; end if;
2709
          when csr_mhpmcounter30h_c => if (HPM_NUM_CNTS > 27) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(27); else NULL; end if;
2710
          when csr_mhpmcounter31h_c => if (HPM_NUM_CNTS > 28) and (CPU_EXTENSION_RISCV_Zihpm = true) and (hpm_cnt_hi_width_c > 0) then csr.rdata <= csr.mhpmcounterh_rd(28); else NULL; end if;
2711 42 zero_gravi
 
2712 11 zero_gravi
          -- machine information registers --
2713 59 zero_gravi
          -- --------------------------------------------------------------------
2714 63 zero_gravi
--        when csr_mvendorid_c  => NULL; -- mvendorid (r/-): vendor ID, implemented but always zero
2715 62 zero_gravi
          when csr_marchid_c    => csr.rdata(4 downto 0) <= "10011"; -- marchid (r/-): arch ID - official RISC-V open-source arch ID
2716
          when csr_mimpid_c     => csr.rdata <= hw_version_c; -- mimpid (r/-): implementation ID -- NEORV32 hardware version
2717
          when csr_mhartid_c    => csr.rdata <= std_ulogic_vector(to_unsigned(HW_THREAD_ID, 32)); -- mhartid (r/-): hardware thread ID
2718 65 zero_gravi
--        when csr_mconfigptr_c => NULL; -- mconfigptr (r/-): machine configuration pointer register, implemented but always zero
2719 11 zero_gravi
 
2720 59 zero_gravi
          -- debug mode CSRs --
2721
          -- --------------------------------------------------------------------
2722
          when csr_dcsr_c      => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dcsr_rd;   else NULL; end if; -- dcsr (r/w): debug mode control and status
2723
          when csr_dpc_c       => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dpc;       else NULL; end if; -- dpc (r/w): debug mode program counter
2724
          when csr_dscratch0_c => if (CPU_EXTENSION_RISCV_DEBUG = true) then csr.rdata <= csr.dscratch0; else NULL; end if; -- dscratch0 (r/w): debug mode scratch register 0
2725
 
2726 11 zero_gravi
          -- undefined/unavailable --
2727 59 zero_gravi
          -- --------------------------------------------------------------------
2728 11 zero_gravi
          when others =>
2729 65 zero_gravi
            NULL; -- not implemented, read as zero
2730 11 zero_gravi
 
2731
        end case;
2732 2 zero_gravi
      end if;
2733
    end if;
2734
  end process csr_read_access;
2735
 
2736 27 zero_gravi
  -- CSR read data output --
2737
  csr_rdata_o <= csr.rdata;
2738
 
2739 12 zero_gravi
 
2740 59 zero_gravi
  -- Debug Control --------------------------------------------------------------------------
2741
  -- -------------------------------------------------------------------------------------------
2742
  debug_control: process(rstn_i, clk_i)
2743
  begin
2744
    if (rstn_i = '0') then
2745 68 zero_gravi
      debug_ctrl.state <= DEBUG_OFFLINE;
2746 64 zero_gravi
      debug_ctrl.ext_halt_req <= '0';
2747 59 zero_gravi
    elsif rising_edge(clk_i) then
2748
      if (CPU_EXTENSION_RISCV_DEBUG = true) then
2749
 
2750 68 zero_gravi
        -- external halt request (from Debug Module) --
2751 64 zero_gravi
        debug_ctrl.ext_halt_req <= db_halt_req_i;
2752 59 zero_gravi
 
2753
        -- state machine --
2754
        case debug_ctrl.state is
2755
 
2756
          when DEBUG_OFFLINE => -- not in debug mode, waiting for entering request
2757
            if (debug_ctrl.trig_halt = '1') or -- external request (from DM)
2758
               (debug_ctrl.trig_break = '1') or -- ebreak instruction
2759
               (debug_ctrl.trig_step = '1') then -- single-stepping mode
2760
              debug_ctrl.state <= DEBUG_PENDING;
2761
            end if;
2762
 
2763
          when DEBUG_PENDING => -- waiting to start debug mode
2764
            if (trap_ctrl.env_start_ack = '1') and (trap_ctrl.cause(5) = '1') then -- processing trap entry into debug mode
2765
              debug_ctrl.state <= DEBUG_ONLINE;
2766
            end if;
2767
 
2768
          when DEBUG_ONLINE => -- we are in debug mode
2769
            if (debug_ctrl.dret = '1') then -- DRET instruction
2770
              debug_ctrl.state <= DEBUG_EXIT;
2771
            end if;
2772
 
2773
          when DEBUG_EXIT => -- leaving debug mode
2774
            if (execute_engine.state = TRAP_EXECUTE) then -- processing trap exit
2775
              debug_ctrl.state <= DEBUG_OFFLINE;
2776
            end if;
2777
 
2778
          when others => -- undefined
2779
            debug_ctrl.state <= DEBUG_OFFLINE;
2780
 
2781
        end case;
2782
      else -- debug mode NOT implemented
2783 68 zero_gravi
        debug_ctrl.state <= DEBUG_OFFLINE;
2784 64 zero_gravi
        debug_ctrl.ext_halt_req <= '0';
2785 59 zero_gravi
      end if;
2786
    end if;
2787
  end process debug_control;
2788
 
2789
  -- state decoding --
2790
  debug_ctrl.pending <= '1' when (debug_ctrl.state = DEBUG_PENDING) and (CPU_EXTENSION_RISCV_DEBUG = true) else '0';
2791
  debug_ctrl.running <= '1' when ((debug_ctrl.state = DEBUG_ONLINE) or (debug_ctrl.state = DEBUG_EXIT)) and (CPU_EXTENSION_RISCV_DEBUG = true) else '0';
2792
 
2793
  -- entry debug mode triggers --
2794
  debug_ctrl.trig_break <= trap_ctrl.break_point and (debug_ctrl.running or -- we are in debug mode: re-enter debug mode
2795 60 zero_gravi
                           (csr.priv_m_mode and csr.dcsr_ebreakm and (not debug_ctrl.running)) or -- enabled goto-debug-mode in machine mode on "ebreak"
2796
                           (csr.priv_u_mode and csr.dcsr_ebreaku and (not debug_ctrl.running))); -- enabled goto-debug-mode in user mode on "ebreak"
2797 64 zero_gravi
  debug_ctrl.trig_halt <= debug_ctrl.ext_halt_req and (not debug_ctrl.running); -- external halt request (if not halted already)
2798 59 zero_gravi
  debug_ctrl.trig_step <= csr.dcsr_step and (not debug_ctrl.running); -- single-step mode (trigger when NOT CURRENTLY in debug mode)
2799
 
2800
 
2801
  -- Debug Control and Status Register (dcsr) - Read-Back -----------------------------------
2802
  -- -------------------------------------------------------------------------------------------
2803
  dcsr_readback_false:
2804
  if (CPU_EXTENSION_RISCV_DEBUG = false) generate
2805 60 zero_gravi
    csr.dcsr_rd <= (others => '-');
2806 59 zero_gravi
  end generate;
2807
 
2808
  dcsr_readback_true:
2809
  if (CPU_EXTENSION_RISCV_DEBUG = true) generate
2810
    csr.dcsr_rd(31 downto 28) <= "0100"; -- xdebugver: external debug support compatible to spec
2811
    csr.dcsr_rd(27 downto 16) <= (others => '0'); -- reserved
2812
    csr.dcsr_rd(15) <= csr.dcsr_ebreakm; -- ebreakm: what happens on ebreak in m-mode? (normal trap OR debug-enter)
2813 68 zero_gravi
    csr.dcsr_rd(14) <= '0'; -- ebreakh: hypervisor mode not implemented
2814
    csr.dcsr_rd(13) <= '0'; -- ebreaks: supervisor mode not implemented
2815 59 zero_gravi
    csr.dcsr_rd(12) <= csr.dcsr_ebreaku when (CPU_EXTENSION_RISCV_U = true) else '0'; -- ebreaku: what happens on ebreak in u-mode? (normal trap OR debug-enter)
2816 60 zero_gravi
    csr.dcsr_rd(11) <= '0'; -- stepie: interrupts are disabled during single-stepping
2817 59 zero_gravi
    csr.dcsr_rd(10) <= '0'; -- stopcount: counters increment as usual FIXME ???
2818 60 zero_gravi
    csr.dcsr_rd(09) <= '0'; -- stoptime: timers increment as usual
2819
    csr.dcsr_rd(08 downto 06) <= csr.dcsr_cause; -- debug mode entry cause
2820 59 zero_gravi
    csr.dcsr_rd(05) <= '0'; -- reserved
2821
    csr.dcsr_rd(04) <= '0'; -- mprven: mstatus.mprv is ignored in debug mode
2822 64 zero_gravi
    csr.dcsr_rd(03) <= '0'; -- nmip: pending non-maskable interrupt
2823 59 zero_gravi
    csr.dcsr_rd(02) <= csr.dcsr_step; -- step: single-step mode
2824
    csr.dcsr_rd(01 downto 00) <= csr.dcsr_prv; -- prv: privilege mode when debug mode was entered
2825
  end generate;
2826
 
2827
 
2828 2 zero_gravi
end neorv32_cpu_control_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.