OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_mtime.vhd] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Machine System Timer (MTIME) >>                                                  #
3
-- # ********************************************************************************************* #
4
-- # Compatible to RISC-V spec's mtime & mtimecmp.                                                 #
5
-- # Write mtime.LO first when updating the system time. System time should be written only at     #
6
-- # system start. RISC-V spec. exception: The MTIME interrupt is ACKed by the processor itself.   #
7
-- # However, the  achine time cannot issue a new interrupt until the mtimecmp.HI register is      #
8
-- # written again.                                                                                #
9
-- # Note: The 64-bit time and compare system is broken and de-coupled into two 32-bit systems.    #
10 11 zero_gravi
-- # Note: The register of this unit can only be written in WORD MODE.                             #
11 2 zero_gravi
-- # ********************************************************************************************* #
12
-- # BSD 3-Clause License                                                                          #
13
-- #                                                                                               #
14
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
15
-- #                                                                                               #
16
-- # Redistribution and use in source and binary forms, with or without modification, are          #
17
-- # permitted provided that the following conditions are met:                                     #
18
-- #                                                                                               #
19
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
20
-- #    conditions and the following disclaimer.                                                   #
21
-- #                                                                                               #
22
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
23
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
24
-- #    provided with the distribution.                                                            #
25
-- #                                                                                               #
26
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
27
-- #    endorse or promote products derived from this software without specific prior written      #
28
-- #    permission.                                                                                #
29
-- #                                                                                               #
30
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
31
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
32
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
33
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
34
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
35
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
36
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
37
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
38
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
39
-- # ********************************************************************************************* #
40
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
41
-- #################################################################################################
42
 
43
library ieee;
44
use ieee.std_logic_1164.all;
45
use ieee.numeric_std.all;
46
 
47
library neorv32;
48
use neorv32.neorv32_package.all;
49
 
50
entity neorv32_mtime is
51
  port (
52
    -- host access --
53
    clk_i     : in  std_ulogic; -- global clock line
54 4 zero_gravi
    rstn_i    : in  std_ulogic := '0'; -- global reset, low-active, async
55 2 zero_gravi
    addr_i    : in  std_ulogic_vector(31 downto 0); -- address
56
    rden_i    : in  std_ulogic; -- read enable
57
    wren_i    : in  std_ulogic; -- write enable
58
    ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
59
    data_i    : in  std_ulogic_vector(31 downto 0); -- data in
60
    data_o    : out std_ulogic_vector(31 downto 0); -- data out
61
    ack_o     : out std_ulogic; -- transfer acknowledge
62 11 zero_gravi
    -- time output for CPU --
63
    time_o    : out std_ulogic_vector(63 downto 0); -- current system time
64 2 zero_gravi
    -- interrupt --
65
    irq_o     : out std_ulogic  -- interrupt request
66
  );
67
end neorv32_mtime;
68
 
69
architecture neorv32_mtime_rtl of neorv32_mtime is
70
 
71
  -- IO space: module base address --
72
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
73
  constant lo_abb_c : natural := index_size_f(mtime_size_c); -- low address boundary bit
74
 
75
  -- access control --
76
  signal acc_en : std_ulogic; -- module access enable
77
  signal addr   : std_ulogic_vector(31 downto 0); -- access address
78
  signal wren   : std_ulogic; -- module access enable
79
 
80
  -- accessible regs --
81 11 zero_gravi
  signal mtimecmp_lo     : std_ulogic_vector(31 downto 0);
82
  signal mtimecmp_hi     : std_ulogic_vector(31 downto 0);
83 2 zero_gravi
  signal mtime_lo        : std_ulogic_vector(32 downto 0);
84
  signal mtime_lo_msb_ff : std_ulogic;
85
  signal mtime_hi        : std_ulogic_vector(31 downto 0);
86
 
87
  -- irq control --
88
  signal cmp_lo       : std_ulogic;
89
  signal cmp_lo_ff    : std_ulogic;
90
  signal cmp_hi       : std_ulogic;
91
  signal cmp_match_ff : std_ulogic;
92
 
93
begin
94
 
95
  -- Access Control -------------------------------------------------------------------------
96
  -- -------------------------------------------------------------------------------------------
97
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = mtime_base_c(hi_abb_c downto lo_abb_c)) else '0';
98
  addr   <= mtime_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
99 11 zero_gravi
  wren   <= acc_en and wren_i and and_all_f(ben_i);
100 2 zero_gravi
 
101
 
102 11 zero_gravi
  -- Write Access ---------------------------------------------------------------------------
103 4 zero_gravi
  -- -------------------------------------------------------------------------------------------
104 11 zero_gravi
  wr_access: process(clk_i)
105 4 zero_gravi
  begin
106
    if rising_edge(clk_i) then
107 11 zero_gravi
      -- mtimecmp --
108
      if (wren = '1') then
109
        if (addr = mtime_cmp_lo_addr_c) then -- low
110
          mtimecmp_lo <= data_i;
111 4 zero_gravi
        end if;
112 11 zero_gravi
        if (addr = mtime_cmp_hi_addr_c) then -- high
113
          mtimecmp_hi <= data_i;
114
        end if;
115 4 zero_gravi
      end if;
116
 
117 11 zero_gravi
      -- mtime low --
118
      if (wren = '1') and (addr = mtime_time_lo_addr_c) then
119
        mtime_lo_msb_ff <= '0';
120
        mtime_lo <= '0' & data_i;
121
      else -- auto increment
122
        mtime_lo_msb_ff <= mtime_lo(mtime_lo'left);
123
        mtime_lo <= std_ulogic_vector(unsigned(mtime_lo) + 1);
124 2 zero_gravi
      end if;
125
 
126 11 zero_gravi
      -- mtime high --
127
      if (wren = '1') and (addr = mtime_time_hi_addr_c) then
128
        mtime_hi <= data_i;
129
      elsif ((mtime_lo_msb_ff xor mtime_lo(mtime_lo'left)) = '1') then -- mtime_lo carry?
130
        mtime_hi <= std_ulogic_vector(unsigned(mtime_hi) + 1);
131 2 zero_gravi
      end if;
132
    end if;
133
  end process wr_access;
134
 
135
 
136
  -- Read Access ----------------------------------------------------------------------------
137
  -- -------------------------------------------------------------------------------------------
138
  rd_access: process(clk_i)
139
  begin
140
    if rising_edge(clk_i) then
141 11 zero_gravi
      ack_o  <= acc_en and (rden_i or wren_i);
142 2 zero_gravi
      data_o <= (others => '0'); -- default
143
      if (rden_i = '1') and (acc_en = '1') then
144
        if (addr = mtime_time_lo_addr_c) then -- mtime LOW
145
          data_o <= mtime_lo(31 downto 00);
146
        elsif (addr = mtime_time_hi_addr_c) then -- mtime HIGH
147
          data_o <= mtime_hi;
148
        elsif (addr = mtime_cmp_lo_addr_c) then -- mtimecmp LOW
149 11 zero_gravi
          data_o <= mtimecmp_lo;
150 2 zero_gravi
        else -- (addr = mtime_cmp_hi_addr_c) then -- mtimecmp HIGH
151 11 zero_gravi
          data_o <= mtimecmp_hi;
152 2 zero_gravi
        end if;
153
      end if;
154
    end if;
155
  end process rd_access;
156
 
157 11 zero_gravi
  -- time output for cpu --
158
  time_o <= mtime_hi & mtime_lo(31 downto 00);
159 2 zero_gravi
 
160 11 zero_gravi
 
161 2 zero_gravi
  -- Comparator -----------------------------------------------------------------------------
162
  -- -------------------------------------------------------------------------------------------
163
  cmp_sync: process(clk_i)
164
  begin
165
    if rising_edge(clk_i) then
166
      cmp_lo_ff    <= cmp_lo;
167
      cmp_match_ff <= cmp_lo_ff and cmp_hi;
168 11 zero_gravi
      irq_o        <= cmp_lo_ff and cmp_hi and (not cmp_match_ff);
169 2 zero_gravi
    end if;
170
  end process cmp_sync;
171
 
172
  -- test words --
173 11 zero_gravi
  cmp_lo <= '1' when (unsigned(mtime_lo(31 downto 00)) >= unsigned(mtimecmp_lo)) else '0';
174
  cmp_hi <= '1' when (unsigned(mtime_hi(31 downto 00)) >= unsigned(mtimecmp_hi)) else '0';
175 2 zero_gravi
 
176
 
177
end neorv32_mtime_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.