OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 29

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
7
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 27 zero_gravi
  -- Architecture Constants -----------------------------------------------------------------
42 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
43 27 zero_gravi
  constant data_width_c : natural := 32; -- data width - do not change!
44 29 zero_gravi
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01040402"; -- no touchy!
45 27 zero_gravi
  constant pmp_max_r_c  : natural := 8; -- max PMP regions - FIXED!
46
 
47
  -- Architecture Configuration -------------------------------------------------------------
48
  -- -------------------------------------------------------------------------------------------
49
  constant ispace_base_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"00000000"; -- default instruction memory address space base address
50
  constant dspace_base_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"80000000"; -- default data memory address space base address
51 25 zero_gravi
  constant ipb_entries_c  : natural := 2; -- entries in instruction prefetch buffer, must be a power of 2, default=2
52
  constant rf_r0_is_reg_c : boolean := true; -- reg_file.r0 is a physical register that has to be initialized to zero
53 2 zero_gravi
 
54 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
55 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
56
  function index_size_f(input : natural) return natural;
57
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
58
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
59
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
60 15 zero_gravi
  function or_all_f(a : std_ulogic_vector) return std_ulogic;
61
  function and_all_f(a : std_ulogic_vector) return std_ulogic;
62
  function xor_all_f(a : std_ulogic_vector) return std_ulogic;
63 2 zero_gravi
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic;
64 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
65 2 zero_gravi
 
66 15 zero_gravi
  -- Internal Types -------------------------------------------------------------------------
67
  -- -------------------------------------------------------------------------------------------
68
  type pmp_ctrl_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(7 downto 0);
69
  type pmp_addr_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(33 downto 0);
70
 
71 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
72
  -- -------------------------------------------------------------------------------------------
73
  -- Internal Instruction Memory (IMEM) --
74
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
75
  --> size is configured via top's generic
76 2 zero_gravi
 
77 23 zero_gravi
  -- Internal Data Memory (DMEM) --
78
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
79
  --> size is configured via top's generic
80
 
81
  -- Internal Bootloader ROM --
82
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFF0000"; -- bootloader base address, fixed!
83
  constant boot_rom_size_c      : natural := 4*1024; -- bytes
84
  constant boot_rom_max_size_c  : natural := 32*1024; -- bytes, fixed!
85
 
86 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
87
  -- Control register(s) (including the device-enable) should be located at the base address of each device
88
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
89
  constant io_size_c            : natural := 32*4; -- bytes, fixed!
90
 
91
  -- General Purpose Input/Output Unit (GPIO) --
92
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80"; -- base address, fixed!
93 23 zero_gravi
  constant gpio_size_c          : natural := 2*4; -- bytes
94
  constant gpio_in_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
95
  constant gpio_out_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF84";
96 2 zero_gravi
 
97 18 zero_gravi
  -- Dummy Device (with SIMULATION output) (DEVNULL) --
98
  constant devnull_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88"; -- base address, fixed!
99 23 zero_gravi
  constant devnull_size_c       : natural := 1*4; -- bytes
100
  constant devnull_data_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88";
101 2 zero_gravi
 
102
  -- Watch Dog Timer (WDT) --
103
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C"; -- base address, fixed!
104 23 zero_gravi
  constant wdt_size_c           : natural := 1*4; -- bytes
105
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C";
106 2 zero_gravi
 
107
  -- Machine System Timer (MTIME) --
108
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90"; -- base address, fixed!
109 23 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- bytes
110
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90";
111
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF94";
112
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF98";
113
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF9C";
114 2 zero_gravi
 
115
  -- Universal Asynchronous Receiver/Transmitter (UART) --
116
  constant uart_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0"; -- base address, fixed!
117 23 zero_gravi
  constant uart_size_c          : natural := 2*4; -- bytes
118
  constant uart_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0";
119
  constant uart_rtx_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA4";
120 2 zero_gravi
 
121
  -- Serial Peripheral Interface (SPI) --
122
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8"; -- base address, fixed!
123 23 zero_gravi
  constant spi_size_c           : natural := 2*4; -- bytes
124
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8";
125
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFAC";
126 2 zero_gravi
 
127
  -- Two Wire Interface (TWI) --
128
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0"; -- base address, fixed!
129 23 zero_gravi
  constant twi_size_c           : natural := 2*4; -- bytes
130
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0";
131
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB4";
132 2 zero_gravi
 
133
  -- Pulse-Width Modulation Controller (PWM) --
134
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8"; -- base address, fixed!
135 23 zero_gravi
  constant pwm_size_c           : natural := 2*4; -- bytes
136
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8";
137
  constant pwm_duty_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFBC";
138 2 zero_gravi
 
139 23 zero_gravi
  -- True Random Number Generator (TRNG) --
140 2 zero_gravi
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0"; -- base address, fixed!
141 23 zero_gravi
  constant trng_size_c          : natural := 1*4; -- bytes
142
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0";
143 2 zero_gravi
 
144 12 zero_gravi
  -- RESERVED --
145 23 zero_gravi
--constant ???_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC4"; -- base address, fixed!
146
--constant ???_size_c           : natural := 3*4; -- bytes
147 12 zero_gravi
 
148 23 zero_gravi
  -- Custom Functions Unit (CFU) --
149
  constant cfu_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0"; -- base address, fixed!
150
  constant cfu_size_c           : natural := 4*4; -- bytes
151
  constant cfu_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0";
152
  constant cfu_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD4";
153
  constant cfu_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD8";
154
  constant cfu_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFDC";
155
 
156
  -- System Information Memory (SYSINFO) --
157 12 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFE0"; -- base address, fixed!
158 23 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- bytes
159 12 zero_gravi
 
160 2 zero_gravi
  -- Main Control Bus -----------------------------------------------------------------------
161
  -- -------------------------------------------------------------------------------------------
162
  -- register file --
163
  constant ctrl_rf_in_mux_lsb_c   : natural :=  0; -- input source select lsb (00=ALU, 01=MEM)
164
  constant ctrl_rf_in_mux_msb_c   : natural :=  1; -- input source select msb (10=PC,  11=CSR)
165
  constant ctrl_rf_rs1_adr0_c     : natural :=  2; -- source register 1 address bit 0
166
  constant ctrl_rf_rs1_adr1_c     : natural :=  3; -- source register 1 address bit 1
167
  constant ctrl_rf_rs1_adr2_c     : natural :=  4; -- source register 1 address bit 2
168
  constant ctrl_rf_rs1_adr3_c     : natural :=  5; -- source register 1 address bit 3
169
  constant ctrl_rf_rs1_adr4_c     : natural :=  6; -- source register 1 address bit 4
170
  constant ctrl_rf_rs2_adr0_c     : natural :=  7; -- source register 2 address bit 0
171
  constant ctrl_rf_rs2_adr1_c     : natural :=  8; -- source register 2 address bit 1
172
  constant ctrl_rf_rs2_adr2_c     : natural :=  9; -- source register 2 address bit 2
173
  constant ctrl_rf_rs2_adr3_c     : natural := 10; -- source register 2 address bit 3
174
  constant ctrl_rf_rs2_adr4_c     : natural := 11; -- source register 2 address bit 4
175
  constant ctrl_rf_rd_adr0_c      : natural := 12; -- destiantion register address bit 0
176
  constant ctrl_rf_rd_adr1_c      : natural := 13; -- destiantion register address bit 1
177
  constant ctrl_rf_rd_adr2_c      : natural := 14; -- destiantion register address bit 2
178
  constant ctrl_rf_rd_adr3_c      : natural := 15; -- destiantion register address bit 3
179
  constant ctrl_rf_rd_adr4_c      : natural := 16; -- destiantion register address bit 4
180
  constant ctrl_rf_wb_en_c        : natural := 17; -- write back enable
181 25 zero_gravi
  constant ctrl_rf_r0_we_c        : natural := 18; -- allow write access to r0 (zero), also forces dst=r0
182 2 zero_gravi
  -- alu --
183 24 zero_gravi
  constant ctrl_alu_cmd0_c        : natural := 19; -- ALU command bit 0
184
  constant ctrl_alu_cmd1_c        : natural := 20; -- ALU command bit 1
185
  constant ctrl_alu_cmd2_c        : natural := 21; -- ALU command bit 2
186 29 zero_gravi
  constant ctrl_alu_addsub_c      : natural := 22; -- 0=ADD, 1=SUB
187
  constant ctrl_alu_opa_mux_c     : natural := 23; -- operand A select (0=rs1, 1=PC)
188
  constant ctrl_alu_opb_mux_c     : natural := 24; -- operand B select (0=rs2, 1=IMM)
189 27 zero_gravi
  constant ctrl_alu_unsigned_c    : natural := 25; -- is unsigned ALU operation
190
  constant ctrl_alu_shift_dir_c   : natural := 26; -- shift direction (0=left, 1=right)
191
  constant ctrl_alu_shift_ar_c    : natural := 27; -- is arithmetic shift
192 2 zero_gravi
  -- bus interface --
193 27 zero_gravi
  constant ctrl_bus_size_lsb_c    : natural := 28; -- transfer size lsb (00=byte, 01=half-word)
194
  constant ctrl_bus_size_msb_c    : natural := 29; -- transfer size msb (10=word, 11=?)
195
  constant ctrl_bus_rd_c          : natural := 30; -- read data request
196
  constant ctrl_bus_wr_c          : natural := 31; -- write data request
197
  constant ctrl_bus_if_c          : natural := 32; -- instruction fetch request
198
  constant ctrl_bus_mar_we_c      : natural := 33; -- memory address register write enable
199
  constant ctrl_bus_mdo_we_c      : natural := 34; -- memory data out register write enable
200
  constant ctrl_bus_mdi_we_c      : natural := 35; -- memory data in register write enable
201
  constant ctrl_bus_unsigned_c    : natural := 36; -- is unsigned load
202
  constant ctrl_bus_ierr_ack_c    : natural := 37; -- acknowledge instruction fetch bus exceptions
203
  constant ctrl_bus_derr_ack_c    : natural := 38; -- acknowledge data access bus exceptions
204
  constant ctrl_bus_fence_c       : natural := 39; -- executed fence operation
205
  constant ctrl_bus_fencei_c      : natural := 40; -- executed fencei operation
206 26 zero_gravi
  -- co-processors --
207 29 zero_gravi
  constant ctrl_cp_id_lsb_c       : natural := 41; -- cp select ID lsb
208
  constant ctrl_cp_id_msb_c       : natural := 42; -- cp select ID msb
209
  constant ctrl_cp_cmd0_c         : natural := 43; -- cp command bit 0
210
  constant ctrl_cp_cmd1_c         : natural := 44; -- cp command bit 1
211
  constant ctrl_cp_cmd2_c         : natural := 45; -- cp command bit 2
212 2 zero_gravi
  -- control bus size --
213 29 zero_gravi
  constant ctrl_width_c           : natural := 46; -- control bus size
214 2 zero_gravi
 
215
  -- ALU Comparator Bus ---------------------------------------------------------------------
216
  -- -------------------------------------------------------------------------------------------
217
  constant alu_cmp_equal_c : natural := 0;
218 6 zero_gravi
  constant alu_cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
219 2 zero_gravi
 
220
  -- RISC-V Opcode Layout -------------------------------------------------------------------
221
  -- -------------------------------------------------------------------------------------------
222
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
223
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
224
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
225
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
226
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
227
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
228
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
229
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
230
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
231
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
232
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
233
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
234
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
235
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
236
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
237
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
238
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
239
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
240
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
241
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
242
 
243
  -- RISC-V Opcodes -------------------------------------------------------------------------
244
  -- -------------------------------------------------------------------------------------------
245
  -- alu --
246
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
247
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
248
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
249
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
250
  -- control flow --
251
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
252 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
253 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
254
  -- memory access --
255
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
256
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
257
  -- system/csr --
258 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
259 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
260
 
261
  -- RISC-V Funct3 --------------------------------------------------------------------------
262
  -- -------------------------------------------------------------------------------------------
263
  -- control flow --
264
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
265
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
266
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
267
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
268
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
269
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
270
  -- memory access --
271
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
272
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
273
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
274
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
275
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
276
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
277
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
278
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
279
  -- alu --
280
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
281
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
282
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
283
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
284
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
285
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
286
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
287
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
288
  -- system/csr --
289
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi
290
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
291
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
292
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
293
  --
294
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
295
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
296
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
297 8 zero_gravi
  -- fence --
298
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
299
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instructon stream sync
300 2 zero_gravi
 
301 11 zero_gravi
  -- RISC-V Funct12 --------------------------------------------------------------------------
302
  -- -------------------------------------------------------------------------------------------
303
  -- system --
304
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
305
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
306
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
307
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
308
 
309 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
310
  -- -------------------------------------------------------------------------------------------
311
  constant csr_mstatus_c    : std_ulogic_vector(11 downto 0) := x"300"; -- mstatus
312
  constant csr_misa_c       : std_ulogic_vector(11 downto 0) := x"301"; -- misa
313
  constant csr_mie_c        : std_ulogic_vector(11 downto 0) := x"304"; -- mie
314
  constant csr_mtvec_c      : std_ulogic_vector(11 downto 0) := x"305"; -- mtvec
315
  --
316
  constant csr_mscratch_c   : std_ulogic_vector(11 downto 0) := x"340"; -- mscratch
317
  constant csr_mepc_c       : std_ulogic_vector(11 downto 0) := x"341"; -- mepc
318
  constant csr_mcause_c     : std_ulogic_vector(11 downto 0) := x"342"; -- mcause
319
  constant csr_mtval_c      : std_ulogic_vector(11 downto 0) := x"343"; -- mtval
320
  constant csr_mip_c        : std_ulogic_vector(11 downto 0) := x"344"; -- mip
321
  --
322
  constant csr_pmpcfg0_c    : std_ulogic_vector(11 downto 0) := x"3a0"; -- pmpcfg0
323
  constant csr_pmpcfg1_c    : std_ulogic_vector(11 downto 0) := x"3a1"; -- pmpcfg1
324
  --
325
  constant csr_pmpaddr0_c   : std_ulogic_vector(11 downto 0) := x"3b0"; -- pmpaddr0
326
  constant csr_pmpaddr1_c   : std_ulogic_vector(11 downto 0) := x"3b1"; -- pmpaddr1
327
  constant csr_pmpaddr2_c   : std_ulogic_vector(11 downto 0) := x"3b2"; -- pmpaddr2
328
  constant csr_pmpaddr3_c   : std_ulogic_vector(11 downto 0) := x"3b3"; -- pmpaddr3
329
  constant csr_pmpaddr4_c   : std_ulogic_vector(11 downto 0) := x"3b4"; -- pmpaddr4
330
  constant csr_pmpaddr5_c   : std_ulogic_vector(11 downto 0) := x"3b5"; -- pmpaddr5
331
  constant csr_pmpaddr6_c   : std_ulogic_vector(11 downto 0) := x"3b6"; -- pmpaddr6
332
  constant csr_pmpaddr7_c   : std_ulogic_vector(11 downto 0) := x"3b7"; -- pmpaddr7
333
  --
334
  constant csr_mcycle_c     : std_ulogic_vector(11 downto 0) := x"b00"; -- mcycle
335
  constant csr_minstret_c   : std_ulogic_vector(11 downto 0) := x"b02"; -- minstret
336
  --
337
  constant csr_mcycleh_c    : std_ulogic_vector(11 downto 0) := x"b80"; -- mcycleh
338
  constant csr_minstreth_c  : std_ulogic_vector(11 downto 0) := x"b82"; -- minstreth
339
  --
340
  constant csr_cycle_c      : std_ulogic_vector(11 downto 0) := x"c00"; -- cycle
341
  constant csr_time_c       : std_ulogic_vector(11 downto 0) := x"c01"; -- time
342
  constant csr_instret_c    : std_ulogic_vector(11 downto 0) := x"c02"; -- instret
343
  --
344
  constant csr_cycleh_c     : std_ulogic_vector(11 downto 0) := x"c80"; -- cycleh
345
  constant csr_timeh_c      : std_ulogic_vector(11 downto 0) := x"c81"; -- timeh
346
  constant csr_instreth_c   : std_ulogic_vector(11 downto 0) := x"c82"; -- instreth
347
  --
348
  constant csr_mvendorid_c  : std_ulogic_vector(11 downto 0) := x"f11"; -- mvendorid
349
  constant csr_marchid_c    : std_ulogic_vector(11 downto 0) := x"f12"; -- marchid
350
  constant csr_mimpid_c     : std_ulogic_vector(11 downto 0) := x"f13"; -- mimpid
351
  constant csr_mhartid_c    : std_ulogic_vector(11 downto 0) := x"f14"; -- mhartid
352
  --
353
  constant csr_mzext_c      : std_ulogic_vector(11 downto 0) := x"fc0"; -- mzext
354
 
355 2 zero_gravi
  -- Co-Processor Operations ----------------------------------------------------------------
356
  -- -------------------------------------------------------------------------------------------
357
  -- cp ids --
358
  constant cp_sel_muldiv_c : std_ulogic_vector(1 downto 0) := "00"; -- MULDIV CP
359
  -- muldiv cp --
360 6 zero_gravi
  constant cp_op_mul_c     : std_ulogic_vector(2 downto 0) := "000"; -- mul
361
  constant cp_op_mulh_c    : std_ulogic_vector(2 downto 0) := "001"; -- mulh
362
  constant cp_op_mulhsu_c  : std_ulogic_vector(2 downto 0) := "010"; -- mulhsu
363
  constant cp_op_mulhu_c   : std_ulogic_vector(2 downto 0) := "011"; -- mulhu
364
  constant cp_op_div_c     : std_ulogic_vector(2 downto 0) := "100"; -- div
365
  constant cp_op_divu_c    : std_ulogic_vector(2 downto 0) := "101"; -- divu
366
  constant cp_op_rem_c     : std_ulogic_vector(2 downto 0) := "110"; -- rem
367
  constant cp_op_remu_c    : std_ulogic_vector(2 downto 0) := "111"; -- remu
368 2 zero_gravi
 
369
  -- ALU Function Codes ---------------------------------------------------------------------
370
  -- -------------------------------------------------------------------------------------------
371 29 zero_gravi
  constant alu_cmd_addsub_c : std_ulogic_vector(2 downto 0) := "000"; -- r <= A +/- B
372
  constant alu_cmd_slt_c    : std_ulogic_vector(2 downto 0) := "001"; -- r <= A < B
373
  constant alu_cmd_cp_c     : std_ulogic_vector(2 downto 0) := "010"; -- r <= CP result (iterative)
374
  constant alu_cmd_shift_c  : std_ulogic_vector(2 downto 0) := "011"; -- r <= A <</>> B (iterative)
375
  constant alu_cmd_movb_c   : std_ulogic_vector(2 downto 0) := "100"; -- r <= B
376
  constant alu_cmd_xor_c    : std_ulogic_vector(2 downto 0) := "101"; -- r <= A xor B
377
  constant alu_cmd_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- r <= A or B
378
  constant alu_cmd_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- r <= A and B
379 2 zero_gravi
 
380 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
381
  -- -------------------------------------------------------------------------------------------
382 14 zero_gravi
  -- risc-v compliant --
383
  constant trap_ima_c   : std_ulogic_vector(5 downto 0) := "000000"; -- 0.0:  instruction misaligned
384
  constant trap_iba_c   : std_ulogic_vector(5 downto 0) := "000001"; -- 0.1:  instruction access fault
385
  constant trap_iil_c   : std_ulogic_vector(5 downto 0) := "000010"; -- 0.2:  illegal instruction
386
  constant trap_brk_c   : std_ulogic_vector(5 downto 0) := "000011"; -- 0.3:  breakpoint
387
  constant trap_lma_c   : std_ulogic_vector(5 downto 0) := "000100"; -- 0.4:  load address misaligned
388
  constant trap_lbe_c   : std_ulogic_vector(5 downto 0) := "000101"; -- 0.5:  load access fault
389
  constant trap_sma_c   : std_ulogic_vector(5 downto 0) := "000110"; -- 0.6:  store address misaligned
390
  constant trap_sbe_c   : std_ulogic_vector(5 downto 0) := "000111"; -- 0.7:  store access fault
391
  constant trap_menv_c  : std_ulogic_vector(5 downto 0) := "001011"; -- 0.11: environment call from m-mode
392
  --
393
  constant trap_msi_c   : std_ulogic_vector(5 downto 0) := "100011"; -- 1.3:  machine software interrupt
394
  constant trap_mti_c   : std_ulogic_vector(5 downto 0) := "100111"; -- 1.7:  machine timer interrupt
395
  constant trap_mei_c   : std_ulogic_vector(5 downto 0) := "101011"; -- 1.11: machine external interrupt
396
  -- custom --
397
  constant trap_firq0_c : std_ulogic_vector(5 downto 0) := "110000"; -- 1.16: fast interrupt 0
398
  constant trap_firq1_c : std_ulogic_vector(5 downto 0) := "110001"; -- 1.17: fast interrupt 1
399
  constant trap_firq2_c : std_ulogic_vector(5 downto 0) := "110010"; -- 1.18: fast interrupt 2
400
  constant trap_firq3_c : std_ulogic_vector(5 downto 0) := "110011"; -- 1.19: fast interrupt 3
401 12 zero_gravi
 
402 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
403
  -- -------------------------------------------------------------------------------------------
404
  -- exception source bits --
405
  constant exception_iaccess_c   : natural := 0; -- instrution access fault
406
  constant exception_iillegal_c  : natural := 1; -- illegal instrution
407
  constant exception_ialign_c    : natural := 2; -- instrution address misaligned
408
  constant exception_m_envcall_c : natural := 3; -- ENV call from m-mode
409
  constant exception_break_c     : natural := 4; -- breakpoint
410
  constant exception_salign_c    : natural := 5; -- store address misaligned
411
  constant exception_lalign_c    : natural := 6; -- load address misaligned
412
  constant exception_saccess_c   : natural := 7; -- store access fault
413
  constant exception_laccess_c   : natural := 8; -- load access fault
414 14 zero_gravi
  --
415 2 zero_gravi
  constant exception_width_c     : natural := 9; -- length of this list in bits
416
  -- interrupt source bits --
417 12 zero_gravi
  constant interrupt_msw_irq_c   : natural := 0; -- machine software interrupt
418
  constant interrupt_mtime_irq_c : natural := 1; -- machine timer interrupt
419 2 zero_gravi
  constant interrupt_mext_irq_c  : natural := 2; -- machine external interrupt
420 14 zero_gravi
  constant interrupt_firq_0_c    : natural := 3; -- fast interrupt channel 0
421
  constant interrupt_firq_1_c    : natural := 4; -- fast interrupt channel 1
422
  constant interrupt_firq_2_c    : natural := 5; -- fast interrupt channel 2
423
  constant interrupt_firq_3_c    : natural := 6; -- fast interrupt channel 3
424
  --
425
  constant interrupt_width_c     : natural := 7; -- length of this list in bits
426 2 zero_gravi
 
427 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
428
  -- -------------------------------------------------------------------------------------------
429 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
430
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
431 15 zero_gravi
 
432 2 zero_gravi
  -- Clock Generator -------------------------------------------------------------------------
433
  -- -------------------------------------------------------------------------------------------
434
  constant clk_div2_c    : natural := 0;
435
  constant clk_div4_c    : natural := 1;
436
  constant clk_div8_c    : natural := 2;
437
  constant clk_div64_c   : natural := 3;
438
  constant clk_div128_c  : natural := 4;
439
  constant clk_div1024_c : natural := 5;
440
  constant clk_div2048_c : natural := 6;
441
  constant clk_div4096_c : natural := 7;
442
 
443
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
444
  -- -------------------------------------------------------------------------------------------
445
  component neorv32_top
446
    generic (
447
      -- General --
448 12 zero_gravi
      CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
449 8 zero_gravi
      BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
450 12 zero_gravi
      USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
451 2 zero_gravi
      -- RISC-V CPU Extensions --
452 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
453 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
454 18 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
455
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
456 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
457
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
458 19 zero_gravi
      -- Extension Options --
459
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
460 15 zero_gravi
      -- Physical Memory Protection (PMP) --
461
      PMP_USE                      : boolean := false; -- implement PMP?
462 16 zero_gravi
      PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
463
      PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
464 23 zero_gravi
      -- Internal Instruction memory --
465 8 zero_gravi
      MEM_INT_IMEM_USE             : boolean := true;    -- implement processor-internal instruction memory
466
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
467
      MEM_INT_IMEM_ROM             : boolean := false;   -- implement processor-internal instruction memory as ROM
468 23 zero_gravi
      -- Internal Data memory --
469 8 zero_gravi
      MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
470
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
471 23 zero_gravi
      -- External memory interface --
472 8 zero_gravi
      MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
473
      MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
474
      MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout (>=1)
475 2 zero_gravi
      -- Processor peripherals --
476 8 zero_gravi
      IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
477
      IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
478
      IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
479
      IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
480
      IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
481
      IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
482
      IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
483
      IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
484 23 zero_gravi
      IO_DEVNULL_USE               : boolean := true;   -- implement dummy device (DEVNULL)?
485
      IO_CFU_USE                   : boolean := false   -- implement custom functions unit (CFU)?
486 2 zero_gravi
    );
487
    port (
488
      -- Global control --
489
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
490
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
491
      -- Wishbone bus interface --
492
      wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
493
      wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
494
      wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
495
      wb_we_o    : out std_ulogic; -- read/write
496
      wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
497
      wb_stb_o   : out std_ulogic; -- strobe
498
      wb_cyc_o   : out std_ulogic; -- valid cycle
499
      wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
500
      wb_err_i   : in  std_ulogic := '0'; -- transfer error
501 12 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_USE = true) --
502
      fence_o    : out std_ulogic; -- indicates an executed FENCE operation
503
      fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
504 2 zero_gravi
      -- GPIO --
505 22 zero_gravi
      gpio_o     : out std_ulogic_vector(31 downto 0); -- parallel output
506
      gpio_i     : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
507 2 zero_gravi
      -- UART --
508
      uart_txd_o : out std_ulogic; -- UART send data
509
      uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
510
      -- SPI --
511 6 zero_gravi
      spi_sck_o  : out std_ulogic; -- SPI serial clock
512
      spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
513 14 zero_gravi
      spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
514 2 zero_gravi
      spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
515
      -- TWI --
516
      twi_sda_io : inout std_logic := 'H'; -- twi serial data line
517
      twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
518
      -- PWM --
519
      pwm_o      : out std_ulogic_vector(03 downto 0);  -- pwm channels
520
      -- Interrupts --
521 14 zero_gravi
      msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
522
      mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
523 2 zero_gravi
    );
524
  end component;
525
 
526 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
527
  -- -------------------------------------------------------------------------------------------
528
  component neorv32_cpu
529
    generic (
530
      -- General --
531 14 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
532
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
533 4 zero_gravi
      -- RISC-V CPU Extensions --
534 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
535
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
536
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
537 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
538 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
539
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
540 19 zero_gravi
      -- Extension Options --
541
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
542 15 zero_gravi
      -- Physical Memory Protection (PMP) --
543
      PMP_USE                      : boolean := false; -- implement PMP?
544 16 zero_gravi
      PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
545
      PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
546 14 zero_gravi
      -- Bus Interface --
547
      BUS_TIMEOUT                  : natural := 15     -- cycles after which a valid bus access will timeout
548 4 zero_gravi
    );
549
    port (
550
      -- global control --
551 14 zero_gravi
      clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
552
      rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
553 12 zero_gravi
      -- instruction bus interface --
554
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
555 14 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
556 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
557
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
558
      i_bus_we_o     : out std_ulogic; -- write enable
559
      i_bus_re_o     : out std_ulogic; -- read enable
560
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
561 14 zero_gravi
      i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
562
      i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
563 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
564
      -- data bus interface --
565
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
566 14 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
567 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
568
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
569
      d_bus_we_o     : out std_ulogic; -- write enable
570
      d_bus_re_o     : out std_ulogic; -- read enable
571
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
572 14 zero_gravi
      d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
573
      d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
574 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
575 11 zero_gravi
      -- system time input from MTIME --
576 14 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
577
      -- interrupts (risc-v compliant) --
578
      msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
579
      mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
580
      mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
581
      -- fast interrupts (custom) --
582
      firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
583 4 zero_gravi
    );
584
  end component;
585
 
586 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
587
  -- -------------------------------------------------------------------------------------------
588
  component neorv32_cpu_control
589
    generic (
590
      -- General --
591 12 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
592
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
593 2 zero_gravi
      -- RISC-V CPU Extensions --
594 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
595
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
596
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
597 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
598 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
599 15 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
600
      -- Physical memory protection (PMP) --
601
      PMP_USE                      : boolean := false; -- implement physical memory protection?
602
      PMP_NUM_REGIONS              : natural := 4; -- number of regions (1..4)
603
      PMP_GRANULARITY              : natural := 0  -- granularity (0=none, 1=8B, 2=16B, 3=32B, ...)
604 2 zero_gravi
    );
605
    port (
606
      -- global control --
607
      clk_i         : in  std_ulogic; -- global clock, rising edge
608
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
609
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
610
      -- status input --
611
      alu_wait_i    : in  std_ulogic; -- wait for ALU
612 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
613
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
614 2 zero_gravi
      -- data input --
615
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
616
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
617 27 zero_gravi
      alu_res_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU processing result
618 2 zero_gravi
      -- data output --
619
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
620 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
621
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
622
      next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to current instruction)
623 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
624 14 zero_gravi
      -- interrupts (risc-v compliant) --
625
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
626
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
627 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
628 14 zero_gravi
      -- fast interrupts (custom) --
629
      firq_i        : in  std_ulogic_vector(3 downto 0);
630 11 zero_gravi
      -- system time input from MTIME --
631
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
632 15 zero_gravi
      -- physical memory protection --
633
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
634
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
635
      priv_mode_o   : out std_ulogic_vector(1 downto 0); -- current CPU privilege level
636 2 zero_gravi
      -- bus access exceptions --
637
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
638
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
639
      ma_load_i     : in  std_ulogic; -- misaligned load data address
640
      ma_store_i    : in  std_ulogic; -- misaligned store data address
641
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
642
      be_load_i     : in  std_ulogic; -- bus error on load data access
643 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
644 2 zero_gravi
    );
645
  end component;
646
 
647
  -- Component: CPU Register File -----------------------------------------------------------
648
  -- -------------------------------------------------------------------------------------------
649
  component neorv32_cpu_regfile
650
    generic (
651
      CPU_EXTENSION_RISCV_E : boolean := false -- implement embedded RF extension?
652
    );
653
    port (
654
      -- global control --
655
      clk_i  : in  std_ulogic; -- global clock, rising edge
656
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
657
      -- data input --
658
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
659
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
660
      csr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
661
      pc_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- current pc
662
      -- data output --
663
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
664
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
665
    );
666
  end component;
667
 
668
  -- Component: CPU ALU ---------------------------------------------------------------------
669
  -- -------------------------------------------------------------------------------------------
670
  component neorv32_cpu_alu
671 11 zero_gravi
    generic (
672
      CPU_EXTENSION_RISCV_M : boolean := true -- implement muld/div extension?
673
    );
674 2 zero_gravi
    port (
675
      -- global control --
676
      clk_i       : in  std_ulogic; -- global clock, rising edge
677
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
678
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
679
      -- data input --
680
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
681
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
682
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
683
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
684
      -- data output --
685
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
686
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
687
      -- co-processor interface --
688 19 zero_gravi
      cp0_start_o : out std_ulogic; -- trigger co-processor 0
689 2 zero_gravi
      cp0_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 0 result
690
      cp0_valid_i : in  std_ulogic; -- co-processor 0 result valid
691 19 zero_gravi
      cp1_start_o : out std_ulogic; -- trigger co-processor 1
692 2 zero_gravi
      cp1_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 1 result
693
      cp1_valid_i : in  std_ulogic; -- co-processor 1 result valid
694
      -- status --
695
      wait_o      : out std_ulogic -- busy due to iterative processing units
696
    );
697
  end component;
698
 
699
  -- Component: CPU Co-Processor MULDIV -----------------------------------------------------
700
  -- -------------------------------------------------------------------------------------------
701
  component neorv32_cpu_cp_muldiv
702 19 zero_gravi
    generic (
703
      FAST_MUL_EN : boolean := false -- use DSPs for faster multiplication
704
    );
705 2 zero_gravi
    port (
706
      -- global control --
707
      clk_i   : in  std_ulogic; -- global clock, rising edge
708
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
709
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
710
      -- data input --
711 19 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
712 2 zero_gravi
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
713
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
714
      -- result and status --
715
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
716
      valid_o : out std_ulogic -- data output valid
717
    );
718
  end component;
719
 
720
  -- Component: CPU Bus Interface -----------------------------------------------------------
721
  -- -------------------------------------------------------------------------------------------
722
  component neorv32_cpu_bus
723
    generic (
724 11 zero_gravi
      CPU_EXTENSION_RISCV_C : boolean := true; -- implement compressed extension?
725 15 zero_gravi
      BUS_TIMEOUT           : natural := 15;   -- cycles after which a valid bus access will timeout
726
      -- Physical memory protection (PMP) --
727
      PMP_USE               : boolean := false; -- implement physical memory protection?
728
      PMP_NUM_REGIONS       : natural := 4; -- number of regions (1..4)
729 18 zero_gravi
      PMP_GRANULARITY       : natural := 0  -- granularity (1=8B, 2=16B, 3=32B, ...)
730 2 zero_gravi
    );
731
    port (
732
      -- global control --
733 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
734
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
735
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
736
      -- cpu instruction fetch interface --
737
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
738
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
739
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
740
      --
741
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
742
      be_instr_o     : out std_ulogic; -- bus error on instruction access
743
      -- cpu data access interface --
744
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
745
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
746
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
747
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
748
      d_wait_o       : out std_ulogic; -- wait for access to complete
749
      --
750
      ma_load_o      : out std_ulogic; -- misaligned load data address
751
      ma_store_o     : out std_ulogic; -- misaligned store data address
752
      be_load_o      : out std_ulogic; -- bus error on load data access
753
      be_store_o     : out std_ulogic; -- bus error on store data access
754 15 zero_gravi
      -- physical memory protection --
755
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
756
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
757
      priv_mode_i    : in  std_ulogic_vector(1 downto 0); -- current CPU privilege level
758 12 zero_gravi
      -- instruction bus --
759
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
760
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
761
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
762
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
763
      i_bus_we_o     : out std_ulogic; -- write enable
764
      i_bus_re_o     : out std_ulogic; -- read enable
765
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
766
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
767
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
768
      i_bus_fence_o  : out std_ulogic; -- fence operation
769
      -- data bus --
770
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
771
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
772
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
773
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
774
      d_bus_we_o     : out std_ulogic; -- write enable
775
      d_bus_re_o     : out std_ulogic; -- read enable
776
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
777
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
778
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
779
      d_bus_fence_o  : out std_ulogic  -- fence operation
780 2 zero_gravi
    );
781
  end component;
782
 
783 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
784
  -- -------------------------------------------------------------------------------------------
785
  component neorv32_busswitch
786
    generic (
787
      PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
788
      PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
789
    );
790
    port (
791
      -- global control --
792
      clk_i           : in  std_ulogic; -- global clock, rising edge
793
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
794
      -- controller interface a --
795
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
796
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
797
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
798
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
799
      ca_bus_we_i     : in  std_ulogic; -- write enable
800
      ca_bus_re_i     : in  std_ulogic; -- read enable
801
      ca_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
802
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
803
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
804
      -- controller interface b --
805
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
806
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
807
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
808
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
809
      cb_bus_we_i     : in  std_ulogic; -- write enable
810
      cb_bus_re_i     : in  std_ulogic; -- read enable
811
      cb_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
812
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
813
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
814
      -- peripheral bus --
815
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
816
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
817
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
818
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
819
      p_bus_we_o      : out std_ulogic; -- write enable
820
      p_bus_re_o      : out std_ulogic; -- read enable
821
      p_bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
822
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
823
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
824
    );
825
  end component;
826
 
827 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
828
  -- -------------------------------------------------------------------------------------------
829
  component neorv32_cpu_decompressor
830
    port (
831
      -- instruction input --
832
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
833
      -- instruction output --
834
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
835
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
836
    );
837
  end component;
838
 
839
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
840
  -- -------------------------------------------------------------------------------------------
841
  component neorv32_imem
842
    generic (
843
      IMEM_BASE      : std_ulogic_vector(31 downto 0) := x"00000000"; -- memory base address
844
      IMEM_SIZE      : natural := 4*1024; -- processor-internal instruction memory size in bytes
845
      IMEM_AS_ROM    : boolean := false;  -- implement IMEM as read-only memory?
846
      BOOTLOADER_USE : boolean := true    -- implement and use bootloader?
847
    );
848
    port (
849
      clk_i  : in  std_ulogic; -- global clock line
850
      rden_i : in  std_ulogic; -- read enable
851
      wren_i : in  std_ulogic; -- write enable
852
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
853
      upen_i : in  std_ulogic; -- update enable
854
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
855
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
856
      data_o : out std_ulogic_vector(31 downto 0); -- data out
857
      ack_o  : out std_ulogic -- transfer acknowledge
858
    );
859
  end component;
860
 
861
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
862
  -- -------------------------------------------------------------------------------------------
863
  component neorv32_dmem
864
    generic (
865
      DMEM_BASE : std_ulogic_vector(31 downto 0) := x"80000000"; -- memory base address
866
      DMEM_SIZE : natural := 4*1024  -- processor-internal instruction memory size in bytes
867
    );
868
    port (
869
      clk_i  : in  std_ulogic; -- global clock line
870
      rden_i : in  std_ulogic; -- read enable
871
      wren_i : in  std_ulogic; -- write enable
872
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
873
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
874
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
875
      data_o : out std_ulogic_vector(31 downto 0); -- data out
876
      ack_o  : out std_ulogic -- transfer acknowledge
877
    );
878
  end component;
879
 
880
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
881
  -- -------------------------------------------------------------------------------------------
882
  component neorv32_boot_rom
883 23 zero_gravi
    generic (
884
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) := x"FFFF0000"; -- boot ROM base address
885
      BOOTROM_SIZE : natural := 4*1024  -- processor-internal boot ROM memory size in bytes
886
    );
887 2 zero_gravi
    port (
888
      clk_i  : in  std_ulogic; -- global clock line
889
      rden_i : in  std_ulogic; -- read enable
890
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
891
      data_o : out std_ulogic_vector(31 downto 0); -- data out
892
      ack_o  : out std_ulogic -- transfer acknowledge
893
    );
894
  end component;
895
 
896
  -- Component: Machine System Timer (mtime) ------------------------------------------------
897
  -- -------------------------------------------------------------------------------------------
898
  component neorv32_mtime
899
    port (
900
      -- host access --
901
      clk_i     : in  std_ulogic; -- global clock line
902 4 zero_gravi
      rstn_i    : in  std_ulogic := '0'; -- global reset, low-active, async
903 2 zero_gravi
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
904
      rden_i    : in  std_ulogic; -- read enable
905
      wren_i    : in  std_ulogic; -- write enable
906
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
907
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
908
      ack_o     : out std_ulogic; -- transfer acknowledge
909 11 zero_gravi
      -- time output for CPU --
910
      time_o    : out std_ulogic_vector(63 downto 0); -- current system time
911 2 zero_gravi
      -- interrupt --
912
      irq_o     : out std_ulogic  -- interrupt request
913
    );
914
  end component;
915
 
916
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
917
  -- -------------------------------------------------------------------------------------------
918
  component neorv32_gpio
919
    port (
920
      -- host access --
921
      clk_i  : in  std_ulogic; -- global clock line
922
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
923
      rden_i : in  std_ulogic; -- read enable
924
      wren_i : in  std_ulogic; -- write enable
925
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
926
      data_o : out std_ulogic_vector(31 downto 0); -- data out
927
      ack_o  : out std_ulogic; -- transfer acknowledge
928
      -- parallel io --
929 22 zero_gravi
      gpio_o : out std_ulogic_vector(31 downto 0);
930
      gpio_i : in  std_ulogic_vector(31 downto 0);
931 2 zero_gravi
      -- interrupt --
932
      irq_o  : out std_ulogic
933
    );
934
  end component;
935
 
936
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
937
  -- -------------------------------------------------------------------------------------------
938
  component neorv32_wdt
939
    port (
940
      -- host access --
941
      clk_i       : in  std_ulogic; -- global clock line
942
      rstn_i      : in  std_ulogic; -- global reset line, low-active
943
      rden_i      : in  std_ulogic; -- read enable
944
      wren_i      : in  std_ulogic; -- write enable
945
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
946
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
947
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
948
      ack_o       : out std_ulogic; -- transfer acknowledge
949
      -- clock generator --
950
      clkgen_en_o : out std_ulogic; -- enable clock generator
951
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
952
      -- timeout event --
953
      irq_o       : out std_ulogic; -- timeout IRQ
954
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
955
    );
956
  end component;
957
 
958
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
959
  -- -------------------------------------------------------------------------------------------
960
  component neorv32_uart
961
    port (
962
      -- host access --
963
      clk_i       : in  std_ulogic; -- global clock line
964
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
965
      rden_i      : in  std_ulogic; -- read enable
966
      wren_i      : in  std_ulogic; -- write enable
967
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
968
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
969
      ack_o       : out std_ulogic; -- transfer acknowledge
970
      -- clock generator --
971
      clkgen_en_o : out std_ulogic; -- enable clock generator
972
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
973
      -- com lines --
974
      uart_txd_o  : out std_ulogic;
975
      uart_rxd_i  : in  std_ulogic;
976
      -- interrupts --
977
      uart_irq_o  : out std_ulogic  -- uart rx/tx interrupt
978
    );
979
  end component;
980
 
981
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
982
  -- -------------------------------------------------------------------------------------------
983
  component neorv32_spi
984
    port (
985
      -- host access --
986
      clk_i       : in  std_ulogic; -- global clock line
987
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
988
      rden_i      : in  std_ulogic; -- read enable
989
      wren_i      : in  std_ulogic; -- write enable
990
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
991
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
992
      ack_o       : out std_ulogic; -- transfer acknowledge
993
      -- clock generator --
994
      clkgen_en_o : out std_ulogic; -- enable clock generator
995
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
996
      -- com lines --
997 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
998
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
999
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1000 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1001
      -- interrupt --
1002
      spi_irq_o   : out std_ulogic -- transmission done interrupt
1003
    );
1004
  end component;
1005
 
1006
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1007
  -- -------------------------------------------------------------------------------------------
1008
  component neorv32_twi
1009
    port (
1010
      -- host access --
1011
      clk_i       : in  std_ulogic; -- global clock line
1012
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1013
      rden_i      : in  std_ulogic; -- read enable
1014
      wren_i      : in  std_ulogic; -- write enable
1015
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1016
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1017
      ack_o       : out std_ulogic; -- transfer acknowledge
1018
      -- clock generator --
1019
      clkgen_en_o : out std_ulogic; -- enable clock generator
1020
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1021
      -- com lines --
1022
      twi_sda_io  : inout std_logic; -- serial data line
1023
      twi_scl_io  : inout std_logic; -- serial clock line
1024
      -- interrupt --
1025
      twi_irq_o   : out std_ulogic -- transfer done IRQ
1026
    );
1027
  end component;
1028
 
1029
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1030
  -- -------------------------------------------------------------------------------------------
1031
  component neorv32_pwm
1032
    port (
1033
      -- host access --
1034
      clk_i       : in  std_ulogic; -- global clock line
1035
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1036
      rden_i      : in  std_ulogic; -- read enable
1037
      wren_i      : in  std_ulogic; -- write enable
1038
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1039
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1040
      ack_o       : out std_ulogic; -- transfer acknowledge
1041
      -- clock generator --
1042
      clkgen_en_o : out std_ulogic; -- enable clock generator
1043
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1044
      -- pwm output channels --
1045
      pwm_o       : out std_ulogic_vector(03 downto 0)
1046
    );
1047
  end component;
1048
 
1049
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1050
  -- -------------------------------------------------------------------------------------------
1051
  component neorv32_trng
1052
    port (
1053
      -- host access --
1054
      clk_i  : in  std_ulogic; -- global clock line
1055
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1056
      rden_i : in  std_ulogic; -- read enable
1057
      wren_i : in  std_ulogic; -- write enable
1058
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1059
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1060
      ack_o  : out std_ulogic  -- transfer acknowledge
1061
    );
1062
  end component;
1063
 
1064
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1065
  -- -------------------------------------------------------------------------------------------
1066
  component neorv32_wishbone
1067
    generic (
1068
      INTERFACE_REG_STAGES : natural := 2; -- number of interface register stages (0,1,2)
1069 23 zero_gravi
      -- Internal instruction memory --
1070 2 zero_gravi
      MEM_INT_IMEM_USE     : boolean := true;   -- implement processor-internal instruction memory
1071
      MEM_INT_IMEM_SIZE    : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1072 23 zero_gravi
      -- Internal data memory --
1073 2 zero_gravi
      MEM_INT_DMEM_USE     : boolean := true;   -- implement processor-internal data memory
1074
      MEM_INT_DMEM_SIZE    : natural := 4*1024  -- size of processor-internal data memory in bytes
1075
    );
1076
    port (
1077
      -- global control --
1078
      clk_i    : in  std_ulogic; -- global clock line
1079
      rstn_i   : in  std_ulogic; -- global reset line, low-active
1080
      -- host access --
1081
      addr_i   : in  std_ulogic_vector(31 downto 0); -- address
1082
      rden_i   : in  std_ulogic; -- read enable
1083
      wren_i   : in  std_ulogic; -- write enable
1084
      ben_i    : in  std_ulogic_vector(03 downto 0); -- byte write enable
1085
      data_i   : in  std_ulogic_vector(31 downto 0); -- data in
1086
      data_o   : out std_ulogic_vector(31 downto 0); -- data out
1087 11 zero_gravi
      cancel_i : in  std_ulogic; -- cancel current bus transaction
1088 2 zero_gravi
      ack_o    : out std_ulogic; -- transfer acknowledge
1089
      err_o    : out std_ulogic; -- transfer error
1090
      -- wishbone interface --
1091
      wb_adr_o : out std_ulogic_vector(31 downto 0); -- address
1092
      wb_dat_i : in  std_ulogic_vector(31 downto 0); -- read data
1093
      wb_dat_o : out std_ulogic_vector(31 downto 0); -- write data
1094
      wb_we_o  : out std_ulogic; -- read/write
1095
      wb_sel_o : out std_ulogic_vector(03 downto 0); -- byte enable
1096
      wb_stb_o : out std_ulogic; -- strobe
1097
      wb_cyc_o : out std_ulogic; -- valid cycle
1098
      wb_ack_i : in  std_ulogic; -- transfer acknowledge
1099
      wb_err_i : in  std_ulogic  -- transfer error
1100
    );
1101
  end component;
1102
 
1103 23 zero_gravi
  -- Component: Dummy Device with SIM Output (DEVNULL) --------------------------------------
1104
  -- -------------------------------------------------------------------------------------------
1105 3 zero_gravi
  component neorv32_devnull
1106
    port (
1107
      -- host access --
1108
      clk_i  : in  std_ulogic; -- global clock line
1109
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1110
      rden_i : in  std_ulogic; -- read enable
1111
      wren_i : in  std_ulogic; -- write enable
1112
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1113
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1114
      ack_o  : out std_ulogic  -- transfer acknowledge
1115
    );
1116
  end component;
1117
 
1118 23 zero_gravi
  -- Component: Custom Functions Unit (CFU) -------------------------------------------------
1119
  -- -------------------------------------------------------------------------------------------
1120
  component neorv32_cfu
1121
    port (
1122
      -- host access --
1123
      clk_i       : in  std_ulogic; -- global clock line
1124
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1125
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1126
      rden_i      : in  std_ulogic; -- read enable
1127
      wren_i      : in  std_ulogic; -- write enable
1128
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1129
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1130
      ack_o       : out std_ulogic; -- transfer acknowledge
1131
      -- clock generator --
1132
      clkgen_en_o : out std_ulogic; -- enable clock generator
1133
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1134
      -- interrupt --
1135
      irq_o       : out std_ulogic
1136
      -- custom io --
1137
      -- ...
1138
    );
1139
  end component;
1140
 
1141
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1142
  -- -------------------------------------------------------------------------------------------
1143 12 zero_gravi
  component neorv32_sysinfo
1144
    generic (
1145
      -- General --
1146
      CLOCK_FREQUENCY   : natural := 0;      -- clock frequency of clk_i in Hz
1147
      BOOTLOADER_USE    : boolean := true;   -- implement processor-internal bootloader?
1148
      USER_CODE         : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
1149 23 zero_gravi
      -- Internal Instruction memory --
1150 12 zero_gravi
      MEM_INT_IMEM_USE  : boolean := true;   -- implement processor-internal instruction memory
1151
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1152
      MEM_INT_IMEM_ROM  : boolean := false;  -- implement processor-internal instruction memory as ROM
1153 23 zero_gravi
      -- Internal Data memory --
1154 12 zero_gravi
      MEM_INT_DMEM_USE  : boolean := true;   -- implement processor-internal data memory
1155
      MEM_INT_DMEM_SIZE : natural := 4*1024; -- size of processor-internal data memory in bytes
1156 23 zero_gravi
      -- External memory interface --
1157 12 zero_gravi
      MEM_EXT_USE       : boolean := false;  -- implement external memory bus interface?
1158
      -- Processor peripherals --
1159
      IO_GPIO_USE       : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
1160
      IO_MTIME_USE      : boolean := true;   -- implement machine system timer (MTIME)?
1161
      IO_UART_USE       : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
1162
      IO_SPI_USE        : boolean := true;   -- implement serial peripheral interface (SPI)?
1163
      IO_TWI_USE        : boolean := true;   -- implement two-wire interface (TWI)?
1164
      IO_PWM_USE        : boolean := true;   -- implement pulse-width modulation unit (PWM)?
1165
      IO_WDT_USE        : boolean := true;   -- implement watch dog timer (WDT)?
1166
      IO_TRNG_USE       : boolean := true;   -- implement true random number generator (TRNG)?
1167 23 zero_gravi
      IO_DEVNULL_USE    : boolean := true;   -- implement dummy device (DEVNULL)?
1168
      IO_CFU_USE        : boolean := true    -- implement custom functions unit (CFU)?
1169 12 zero_gravi
    );
1170
    port (
1171
      -- host access --
1172
      clk_i  : in  std_ulogic; -- global clock line
1173
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1174
      rden_i : in  std_ulogic; -- read enable
1175
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1176
      ack_o  : out std_ulogic  -- transfer acknowledge
1177
    );
1178
  end component;
1179
 
1180 2 zero_gravi
end neorv32_package;
1181
 
1182
package body neorv32_package is
1183
 
1184
  -- Function: Minimal required bit width ---------------------------------------------------
1185
  -- -------------------------------------------------------------------------------------------
1186
  function index_size_f(input : natural) return natural is
1187
  begin
1188
    for i in 0 to natural'high loop
1189
      if (2**i >= input) then
1190
        return i;
1191
      end if;
1192
    end loop; -- i
1193
    return 0;
1194
  end function index_size_f;
1195
 
1196
  -- Function: Conditional select natural ---------------------------------------------------
1197
  -- -------------------------------------------------------------------------------------------
1198
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
1199
  begin
1200
    if (cond = true) then
1201
      return val_t;
1202
    else
1203
      return val_f;
1204
    end if;
1205
  end function cond_sel_natural_f;
1206
 
1207
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
1208
  -- -------------------------------------------------------------------------------------------
1209
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
1210
  begin
1211
    if (cond = true) then
1212
      return val_t;
1213
    else
1214
      return val_f;
1215
    end if;
1216
  end function cond_sel_stdulogicvector_f;
1217
 
1218
  -- Function: Convert BOOL to STD_ULOGIC ---------------------------------------------------
1219
  -- -------------------------------------------------------------------------------------------
1220
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
1221
  begin
1222
    if (cond = true) then
1223
      return '1';
1224
    else
1225
      return '0';
1226
    end if;
1227
  end function bool_to_ulogic_f;
1228
 
1229
  -- Function: OR all bits ------------------------------------------------------------------
1230
  -- -------------------------------------------------------------------------------------------
1231
  function or_all_f(a : std_ulogic_vector) return std_ulogic is
1232
    variable tmp_v : std_ulogic;
1233
  begin
1234
    tmp_v := a(a'low);
1235 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1236
      for i in a'low+1 to a'high loop
1237
        tmp_v := tmp_v or a(i);
1238
      end loop; -- i
1239
    end if;
1240 2 zero_gravi
    return tmp_v;
1241
  end function or_all_f;
1242
 
1243
  -- Function: AND all bits -----------------------------------------------------------------
1244
  -- -------------------------------------------------------------------------------------------
1245
  function and_all_f(a : std_ulogic_vector) return std_ulogic is
1246
    variable tmp_v : std_ulogic;
1247
  begin
1248
    tmp_v := a(a'low);
1249 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1250
      for i in a'low+1 to a'high loop
1251
        tmp_v := tmp_v and a(i);
1252
      end loop; -- i
1253
    end if;
1254 2 zero_gravi
    return tmp_v;
1255
  end function and_all_f;
1256
 
1257
  -- Function: XOR all bits -----------------------------------------------------------------
1258
  -- -------------------------------------------------------------------------------------------
1259
  function xor_all_f(a : std_ulogic_vector) return std_ulogic is
1260
    variable tmp_v : std_ulogic;
1261
  begin
1262
    tmp_v := a(a'low);
1263 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1264
      for i in a'low+1 to a'high loop
1265
        tmp_v := tmp_v xor a(i);
1266
      end loop; -- i
1267
    end if;
1268 2 zero_gravi
    return tmp_v;
1269
  end function xor_all_f;
1270
 
1271
  -- Function: XNOR all bits ----------------------------------------------------------------
1272
  -- -------------------------------------------------------------------------------------------
1273
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic is
1274
    variable tmp_v : std_ulogic;
1275
  begin
1276
    tmp_v := a(a'low);
1277 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1278
      for i in a'low+1 to a'high loop
1279
        tmp_v := tmp_v xnor a(i);
1280
      end loop; -- i
1281
    end if;
1282 2 zero_gravi
    return tmp_v;
1283
  end function xnor_all_f;
1284
 
1285 6 zero_gravi
  -- Function: Convert to hex char ----------------------------------------------------------
1286
  -- -------------------------------------------------------------------------------------------
1287
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
1288
    variable output_v : character;
1289
  begin
1290
    case input is
1291 7 zero_gravi
      when x"0"   => output_v := '0';
1292
      when x"1"   => output_v := '1';
1293
      when x"2"   => output_v := '2';
1294
      when x"3"   => output_v := '3';
1295
      when x"4"   => output_v := '4';
1296
      when x"5"   => output_v := '5';
1297
      when x"6"   => output_v := '6';
1298
      when x"7"   => output_v := '7';
1299
      when x"8"   => output_v := '8';
1300
      when x"9"   => output_v := '9';
1301
      when x"a"   => output_v := 'a';
1302
      when x"b"   => output_v := 'b';
1303
      when x"c"   => output_v := 'c';
1304
      when x"d"   => output_v := 'd';
1305
      when x"e"   => output_v := 'e';
1306
      when x"f"   => output_v := 'f';
1307 6 zero_gravi
      when others => output_v := '?';
1308
    end case;
1309
    return output_v;
1310
  end function to_hexchar_f;
1311
 
1312 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.