OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 42

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 40 zero_gravi
  -- (external) bus interface --
48 41 zero_gravi
  constant bus_timeout_c     : natural := 127; -- cycles after which an *unacknowledged* bus access will timeout and trigger a bus fault exception (min 2)
49 40 zero_gravi
  constant wb_pipe_mode_c    : boolean := false; -- *external* bus protocol: false=classic/standard wishbone mode (default), true=pipelined wishbone mode
50
  constant xbus_big_endian_c : boolean := true; -- external memory access byte order: true=big endian (default); false=little endian
51
 
52
  -- CPU core --
53 41 zero_gravi
  constant ipb_entries_c : natural := 2; -- entries in CPU instruction prefetch buffer, has to be a power of 2, default=2
54 40 zero_gravi
 
55
  -- Architecture Constants (do not modify!)= -----------------------------------------------
56 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
57 40 zero_gravi
  constant data_width_c   : natural := 32; -- data width - do not change!
58 42 zero_gravi
  constant hw_version_c   : std_ulogic_vector(31 downto 0) := x"01040908"; -- no touchy!
59 40 zero_gravi
  constant pmp_max_r_c    : natural := 8; -- max PMP regions - FIXED!
60
  constant archid_c       : natural := 19; -- official NEORV32 architecture ID - hands off!
61 42 zero_gravi
  constant rf_r0_is_reg_c : boolean := true; -- reg_file.r0 is a *physical register* that has to be initialized to zero by the CPU HW
62 27 zero_gravi
 
63 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
64 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
65
  function index_size_f(input : natural) return natural;
66
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
67
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
68
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
69 15 zero_gravi
  function or_all_f(a : std_ulogic_vector) return std_ulogic;
70
  function and_all_f(a : std_ulogic_vector) return std_ulogic;
71
  function xor_all_f(a : std_ulogic_vector) return std_ulogic;
72 2 zero_gravi
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic;
73 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
74 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
75 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
76 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
77 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
78 2 zero_gravi
 
79 15 zero_gravi
  -- Internal Types -------------------------------------------------------------------------
80
  -- -------------------------------------------------------------------------------------------
81 42 zero_gravi
  type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0);
82
  type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0);
83 15 zero_gravi
 
84 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
85
  -- -------------------------------------------------------------------------------------------
86 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
87 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
88
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
89 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
90 2 zero_gravi
 
91 23 zero_gravi
  -- Internal Bootloader ROM --
92
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFF0000"; -- bootloader base address, fixed!
93
  constant boot_rom_size_c      : natural := 4*1024; -- bytes
94
  constant boot_rom_max_size_c  : natural := 32*1024; -- bytes, fixed!
95
 
96 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
97
  -- Control register(s) (including the device-enable) should be located at the base address of each device
98
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
99
  constant io_size_c            : natural := 32*4; -- bytes, fixed!
100
 
101
  -- General Purpose Input/Output Unit (GPIO) --
102
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80"; -- base address, fixed!
103 23 zero_gravi
  constant gpio_size_c          : natural := 2*4; -- bytes
104
  constant gpio_in_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
105
  constant gpio_out_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF84";
106 2 zero_gravi
 
107 30 zero_gravi
  -- True Random Number Generator (TRNG) --
108
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88"; -- base address, fixed!
109
  constant trng_size_c          : natural := 1*4; -- bytes
110
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88";
111 2 zero_gravi
 
112
  -- Watch Dog Timer (WDT) --
113
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C"; -- base address, fixed!
114 23 zero_gravi
  constant wdt_size_c           : natural := 1*4; -- bytes
115
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C";
116 2 zero_gravi
 
117
  -- Machine System Timer (MTIME) --
118
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90"; -- base address, fixed!
119 23 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- bytes
120
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90";
121
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF94";
122
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF98";
123
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF9C";
124 2 zero_gravi
 
125
  -- Universal Asynchronous Receiver/Transmitter (UART) --
126
  constant uart_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0"; -- base address, fixed!
127 23 zero_gravi
  constant uart_size_c          : natural := 2*4; -- bytes
128
  constant uart_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0";
129
  constant uart_rtx_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA4";
130 2 zero_gravi
 
131
  -- Serial Peripheral Interface (SPI) --
132
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8"; -- base address, fixed!
133 23 zero_gravi
  constant spi_size_c           : natural := 2*4; -- bytes
134
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8";
135
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFAC";
136 2 zero_gravi
 
137
  -- Two Wire Interface (TWI) --
138
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0"; -- base address, fixed!
139 23 zero_gravi
  constant twi_size_c           : natural := 2*4; -- bytes
140
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0";
141
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB4";
142 2 zero_gravi
 
143
  -- Pulse-Width Modulation Controller (PWM) --
144
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8"; -- base address, fixed!
145 23 zero_gravi
  constant pwm_size_c           : natural := 2*4; -- bytes
146
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8";
147
  constant pwm_duty_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFBC";
148 2 zero_gravi
 
149 34 zero_gravi
  -- Custom Functions Unit 0 (CFU0) --
150
  constant cfu0_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0"; -- base address, fixed!
151
  constant cfu0_size_c          : natural := 4*4; -- bytes
152
  constant cfu0_reg0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0";
153
  constant cfu0_reg1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC4";
154
  constant cfu0_reg2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC8";
155
  constant cfu0_reg3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFCC";
156 12 zero_gravi
 
157 34 zero_gravi
  -- Custom Functions Unit 1 (CFU1) --
158
  constant cfu1_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0"; -- base address, fixed!
159
  constant cfu1_size_c          : natural := 4*4; -- bytes
160
  constant cfu1_reg0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0";
161
  constant cfu1_reg1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD4";
162
  constant cfu1_reg2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD8";
163
  constant cfu1_reg3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFDC";
164 23 zero_gravi
 
165
  -- System Information Memory (SYSINFO) --
166 12 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFE0"; -- base address, fixed!
167 23 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- bytes
168 12 zero_gravi
 
169 2 zero_gravi
  -- Main Control Bus -----------------------------------------------------------------------
170
  -- -------------------------------------------------------------------------------------------
171
  -- register file --
172 39 zero_gravi
  constant ctrl_rf_in_mux_lsb_c : natural :=  0; -- input source select lsb (10=MEM, 11=CSR)
173
  constant ctrl_rf_in_mux_msb_c : natural :=  1; -- input source select msb (0-=ALU)
174 36 zero_gravi
  constant ctrl_rf_rs1_adr0_c   : natural :=  2; -- source register 1 address bit 0
175
  constant ctrl_rf_rs1_adr1_c   : natural :=  3; -- source register 1 address bit 1
176
  constant ctrl_rf_rs1_adr2_c   : natural :=  4; -- source register 1 address bit 2
177
  constant ctrl_rf_rs1_adr3_c   : natural :=  5; -- source register 1 address bit 3
178
  constant ctrl_rf_rs1_adr4_c   : natural :=  6; -- source register 1 address bit 4
179
  constant ctrl_rf_rs2_adr0_c   : natural :=  7; -- source register 2 address bit 0
180
  constant ctrl_rf_rs2_adr1_c   : natural :=  8; -- source register 2 address bit 1
181
  constant ctrl_rf_rs2_adr2_c   : natural :=  9; -- source register 2 address bit 2
182
  constant ctrl_rf_rs2_adr3_c   : natural := 10; -- source register 2 address bit 3
183
  constant ctrl_rf_rs2_adr4_c   : natural := 11; -- source register 2 address bit 4
184
  constant ctrl_rf_rd_adr0_c    : natural := 12; -- destiantion register address bit 0
185
  constant ctrl_rf_rd_adr1_c    : natural := 13; -- destiantion register address bit 1
186
  constant ctrl_rf_rd_adr2_c    : natural := 14; -- destiantion register address bit 2
187
  constant ctrl_rf_rd_adr3_c    : natural := 15; -- destiantion register address bit 3
188
  constant ctrl_rf_rd_adr4_c    : natural := 16; -- destiantion register address bit 4
189
  constant ctrl_rf_wb_en_c      : natural := 17; -- write back enable
190
  constant ctrl_rf_r0_we_c      : natural := 18; -- force write access and force rd=r0
191 2 zero_gravi
  -- alu --
192 39 zero_gravi
  constant ctrl_alu_arith_c     : natural := 19; -- ALU arithmetic command
193
  constant ctrl_alu_logic0_c    : natural := 20; -- ALU logic command bit 0
194
  constant ctrl_alu_logic1_c    : natural := 21; -- ALU logic command bit 1
195
  constant ctrl_alu_func0_c     : natural := 22; -- ALU function select command bit 0
196
  constant ctrl_alu_func1_c     : natural := 23; -- ALU function select command bit 1
197
  constant ctrl_alu_addsub_c    : natural := 24; -- 0=ADD, 1=SUB
198
  constant ctrl_alu_opa_mux_c   : natural := 25; -- operand A select (0=rs1, 1=PC)
199
  constant ctrl_alu_opb_mux_c   : natural := 26; -- operand B select (0=rs2, 1=IMM)
200
  constant ctrl_alu_unsigned_c  : natural := 27; -- is unsigned ALU operation
201
  constant ctrl_alu_shift_dir_c : natural := 28; -- shift direction (0=left, 1=right)
202
  constant ctrl_alu_shift_ar_c  : natural := 29; -- is arithmetic shift
203 2 zero_gravi
  -- bus interface --
204 39 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 30; -- transfer size lsb (00=byte, 01=half-word)
205
  constant ctrl_bus_size_msb_c  : natural := 31; -- transfer size msb (10=word, 11=?)
206
  constant ctrl_bus_rd_c        : natural := 32; -- read data request
207
  constant ctrl_bus_wr_c        : natural := 33; -- write data request
208
  constant ctrl_bus_if_c        : natural := 34; -- instruction fetch request
209
  constant ctrl_bus_mo_we_c     : natural := 35; -- memory address and data output register write enable
210
  constant ctrl_bus_mi_we_c     : natural := 36; -- memory data input register write enable
211
  constant ctrl_bus_unsigned_c  : natural := 37; -- is unsigned load
212
  constant ctrl_bus_ierr_ack_c  : natural := 38; -- acknowledge instruction fetch bus exceptions
213
  constant ctrl_bus_derr_ack_c  : natural := 39; -- acknowledge data access bus exceptions
214
  constant ctrl_bus_fence_c     : natural := 40; -- executed fence operation
215
  constant ctrl_bus_fencei_c    : natural := 41; -- executed fencei operation
216
  constant ctrl_bus_lock_c      : natural := 42; -- locked/exclusive bus access
217 26 zero_gravi
  -- co-processors --
218 39 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 43; -- cp select ID lsb
219
  constant ctrl_cp_id_msb_c     : natural := 44; -- cp select ID msb
220 36 zero_gravi
  -- current privilege level --
221 39 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 45; -- privilege level lsb
222
  constant ctrl_priv_lvl_msb_c  : natural := 46; -- privilege level msb
223 36 zero_gravi
  -- instruction's control blocks --
224 39 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 47; -- funct3 bit 0
225
  constant ctrl_ir_funct3_1_c   : natural := 48; -- funct3 bit 1
226
  constant ctrl_ir_funct3_2_c   : natural := 49; -- funct3 bit 2
227
  constant ctrl_ir_funct12_0_c  : natural := 50; -- funct12 bit 0
228
  constant ctrl_ir_funct12_1_c  : natural := 51; -- funct12 bit 1
229
  constant ctrl_ir_funct12_2_c  : natural := 52; -- funct12 bit 2
230
  constant ctrl_ir_funct12_3_c  : natural := 53; -- funct12 bit 3
231
  constant ctrl_ir_funct12_4_c  : natural := 54; -- funct12 bit 4
232
  constant ctrl_ir_funct12_5_c  : natural := 55; -- funct12 bit 5
233
  constant ctrl_ir_funct12_6_c  : natural := 56; -- funct12 bit 6
234
  constant ctrl_ir_funct12_7_c  : natural := 57; -- funct12 bit 7
235
  constant ctrl_ir_funct12_8_c  : natural := 58; -- funct12 bit 8
236
  constant ctrl_ir_funct12_9_c  : natural := 59; -- funct12 bit 9
237
  constant ctrl_ir_funct12_10_c : natural := 60; -- funct12 bit 10
238
  constant ctrl_ir_funct12_11_c : natural := 61; -- funct12 bit 11
239 2 zero_gravi
  -- control bus size --
240 39 zero_gravi
  constant ctrl_width_c         : natural := 62; -- control bus size
241 2 zero_gravi
 
242
  -- ALU Comparator Bus ---------------------------------------------------------------------
243
  -- -------------------------------------------------------------------------------------------
244
  constant alu_cmp_equal_c : natural := 0;
245 6 zero_gravi
  constant alu_cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
246 2 zero_gravi
 
247
  -- RISC-V Opcode Layout -------------------------------------------------------------------
248
  -- -------------------------------------------------------------------------------------------
249
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
250
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
251
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
252
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
253
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
254
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
255
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
256
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
257
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
258
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
259
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
260
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
261
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
262
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
263
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
264
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
265
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
266
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
267
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
268
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
269 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
270
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
271 2 zero_gravi
 
272
  -- RISC-V Opcodes -------------------------------------------------------------------------
273
  -- -------------------------------------------------------------------------------------------
274
  -- alu --
275
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
276
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
277
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
278
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
279
  -- control flow --
280
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
281 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
282 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
283
  -- memory access --
284
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
285
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
286
  -- system/csr --
287 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
288 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
289 39 zero_gravi
  -- atomic operations (A) --
290
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
291 2 zero_gravi
 
292
  -- RISC-V Funct3 --------------------------------------------------------------------------
293
  -- -------------------------------------------------------------------------------------------
294
  -- control flow --
295
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
296
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
297
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
298
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
299
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
300
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
301
  -- memory access --
302
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
303
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
304
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
305
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
306
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
307
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
308
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
309
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
310
  -- alu --
311
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
312
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
313
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
314
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
315
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
316
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
317
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
318
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
319
  -- system/csr --
320
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi
321
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
322
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
323
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
324
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
325
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
326
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
327 8 zero_gravi
  -- fence --
328
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
329
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instructon stream sync
330 2 zero_gravi
 
331 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
332 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
333
  -- system --
334
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
335
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
336
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
337
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
338
 
339 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
340
  -- -------------------------------------------------------------------------------------------
341
  -- atomic operations --
342
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
343
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
344
 
345 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
346
  -- -------------------------------------------------------------------------------------------
347 41 zero_gravi
  -- read/write CSRs --
348 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
349
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
350
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
351
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
352
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
353
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
354 29 zero_gravi
  --
355 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
356 29 zero_gravi
  --
357 42 zero_gravi
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
358
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
359
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
360
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
361
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
362
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
363
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
364
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
365
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
366
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
367
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
368
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
369
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
370
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
371
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
372
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
373
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
374
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
375
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
376
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
377
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
378
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
379
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
380
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
381
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
382
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
383
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
384
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
385
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
386 29 zero_gravi
  --
387 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
388
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
389
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
390
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
391
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
392 29 zero_gravi
  --
393 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
394
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
395
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
396
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
397
  constant csr_pmpcfg4_c        : std_ulogic_vector(11 downto 0) := x"3a4";
398
  constant csr_pmpcfg5_c        : std_ulogic_vector(11 downto 0) := x"3a5";
399
  constant csr_pmpcfg6_c        : std_ulogic_vector(11 downto 0) := x"3a6";
400
  constant csr_pmpcfg7_c        : std_ulogic_vector(11 downto 0) := x"3a7";
401
  constant csr_pmpcfg8_c        : std_ulogic_vector(11 downto 0) := x"3a8";
402
  constant csr_pmpcfg9_c        : std_ulogic_vector(11 downto 0) := x"3a9";
403
  constant csr_pmpcfg10_c       : std_ulogic_vector(11 downto 0) := x"3aa";
404
  constant csr_pmpcfg11_c       : std_ulogic_vector(11 downto 0) := x"3ab";
405
  constant csr_pmpcfg12_c       : std_ulogic_vector(11 downto 0) := x"3ac";
406
  constant csr_pmpcfg13_c       : std_ulogic_vector(11 downto 0) := x"3ad";
407
  constant csr_pmpcfg14_c       : std_ulogic_vector(11 downto 0) := x"3ae";
408
  constant csr_pmpcfg15_c       : std_ulogic_vector(11 downto 0) := x"3af";
409 29 zero_gravi
  --
410 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
411
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
412
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
413
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
414
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
415
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
416
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
417
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
418
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
419
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
420
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
421
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
422
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
423
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
424
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
425
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
426
  constant csr_pmpaddr16_c      : std_ulogic_vector(11 downto 0) := x"3c0";
427
  constant csr_pmpaddr17_c      : std_ulogic_vector(11 downto 0) := x"3c1";
428
  constant csr_pmpaddr18_c      : std_ulogic_vector(11 downto 0) := x"3c2";
429
  constant csr_pmpaddr19_c      : std_ulogic_vector(11 downto 0) := x"3c3";
430
  constant csr_pmpaddr20_c      : std_ulogic_vector(11 downto 0) := x"3c4";
431
  constant csr_pmpaddr21_c      : std_ulogic_vector(11 downto 0) := x"3c5";
432
  constant csr_pmpaddr22_c      : std_ulogic_vector(11 downto 0) := x"3c6";
433
  constant csr_pmpaddr23_c      : std_ulogic_vector(11 downto 0) := x"3c7";
434
  constant csr_pmpaddr24_c      : std_ulogic_vector(11 downto 0) := x"3c8";
435
  constant csr_pmpaddr25_c      : std_ulogic_vector(11 downto 0) := x"3c9";
436
  constant csr_pmpaddr26_c      : std_ulogic_vector(11 downto 0) := x"3ca";
437
  constant csr_pmpaddr27_c      : std_ulogic_vector(11 downto 0) := x"3cb";
438
  constant csr_pmpaddr28_c      : std_ulogic_vector(11 downto 0) := x"3cc";
439
  constant csr_pmpaddr29_c      : std_ulogic_vector(11 downto 0) := x"3cd";
440
  constant csr_pmpaddr30_c      : std_ulogic_vector(11 downto 0) := x"3ce";
441
  constant csr_pmpaddr31_c      : std_ulogic_vector(11 downto 0) := x"3cf";
442
  constant csr_pmpaddr32_c      : std_ulogic_vector(11 downto 0) := x"3d0";
443
  constant csr_pmpaddr33_c      : std_ulogic_vector(11 downto 0) := x"3d1";
444
  constant csr_pmpaddr34_c      : std_ulogic_vector(11 downto 0) := x"3d2";
445
  constant csr_pmpaddr35_c      : std_ulogic_vector(11 downto 0) := x"3d3";
446
  constant csr_pmpaddr36_c      : std_ulogic_vector(11 downto 0) := x"3d4";
447
  constant csr_pmpaddr37_c      : std_ulogic_vector(11 downto 0) := x"3d5";
448
  constant csr_pmpaddr38_c      : std_ulogic_vector(11 downto 0) := x"3d6";
449
  constant csr_pmpaddr39_c      : std_ulogic_vector(11 downto 0) := x"3d7";
450
  constant csr_pmpaddr40_c      : std_ulogic_vector(11 downto 0) := x"3d8";
451
  constant csr_pmpaddr41_c      : std_ulogic_vector(11 downto 0) := x"3d9";
452
  constant csr_pmpaddr42_c      : std_ulogic_vector(11 downto 0) := x"3da";
453
  constant csr_pmpaddr43_c      : std_ulogic_vector(11 downto 0) := x"3db";
454
  constant csr_pmpaddr44_c      : std_ulogic_vector(11 downto 0) := x"3dc";
455
  constant csr_pmpaddr45_c      : std_ulogic_vector(11 downto 0) := x"3dd";
456
  constant csr_pmpaddr46_c      : std_ulogic_vector(11 downto 0) := x"3de";
457
  constant csr_pmpaddr47_c      : std_ulogic_vector(11 downto 0) := x"3df";
458
  constant csr_pmpaddr48_c      : std_ulogic_vector(11 downto 0) := x"3e0";
459
  constant csr_pmpaddr49_c      : std_ulogic_vector(11 downto 0) := x"3e1";
460
  constant csr_pmpaddr50_c      : std_ulogic_vector(11 downto 0) := x"3e2";
461
  constant csr_pmpaddr51_c      : std_ulogic_vector(11 downto 0) := x"3e3";
462
  constant csr_pmpaddr52_c      : std_ulogic_vector(11 downto 0) := x"3e4";
463
  constant csr_pmpaddr53_c      : std_ulogic_vector(11 downto 0) := x"3e5";
464
  constant csr_pmpaddr54_c      : std_ulogic_vector(11 downto 0) := x"3e6";
465
  constant csr_pmpaddr55_c      : std_ulogic_vector(11 downto 0) := x"3e7";
466
  constant csr_pmpaddr56_c      : std_ulogic_vector(11 downto 0) := x"3e8";
467
  constant csr_pmpaddr57_c      : std_ulogic_vector(11 downto 0) := x"3e9";
468
  constant csr_pmpaddr58_c      : std_ulogic_vector(11 downto 0) := x"3ea";
469
  constant csr_pmpaddr59_c      : std_ulogic_vector(11 downto 0) := x"3eb";
470
  constant csr_pmpaddr60_c      : std_ulogic_vector(11 downto 0) := x"3ec";
471
  constant csr_pmpaddr61_c      : std_ulogic_vector(11 downto 0) := x"3ed";
472
  constant csr_pmpaddr62_c      : std_ulogic_vector(11 downto 0) := x"3ee";
473
  constant csr_pmpaddr63_c      : std_ulogic_vector(11 downto 0) := x"3ef";
474 29 zero_gravi
  --
475 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
476
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
477
  --
478
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
479
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
480
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
481
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
482
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
483
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
484
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
485
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
486
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
487
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
488
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
489
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
490
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
491
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
492
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
493
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
494
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
495
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
496
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
497
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
498
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
499
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
500
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
501
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
502
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
503
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
504
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
505
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
506
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
507
  --
508
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
509
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
510
  --
511
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
512
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
513
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
514
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
515
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
516
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
517
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
518
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
519
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
520
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
521
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
522
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
523
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
524
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
525
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
526
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
527
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
528
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
529
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
530
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
531
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
532
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
533
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
534
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
535
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
536
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
537
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
538
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
539
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
540
 
541 41 zero_gravi
  -- read-only CSRs --
542 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
543
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
544
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
545 29 zero_gravi
  --
546 42 zero_gravi
  constant csr_hpmcounter3_c    : std_ulogic_vector(11 downto 0) := x"c03";
547
  constant csr_hpmcounter4_c    : std_ulogic_vector(11 downto 0) := x"c04";
548
  constant csr_hpmcounter5_c    : std_ulogic_vector(11 downto 0) := x"c05";
549
  constant csr_hpmcounter6_c    : std_ulogic_vector(11 downto 0) := x"c06";
550
  constant csr_hpmcounter7_c    : std_ulogic_vector(11 downto 0) := x"c07";
551
  constant csr_hpmcounter8_c    : std_ulogic_vector(11 downto 0) := x"c08";
552
  constant csr_hpmcounter9_c    : std_ulogic_vector(11 downto 0) := x"c09";
553
  constant csr_hpmcounter10_c   : std_ulogic_vector(11 downto 0) := x"c0a";
554
  constant csr_hpmcounter11_c   : std_ulogic_vector(11 downto 0) := x"c0b";
555
  constant csr_hpmcounter12_c   : std_ulogic_vector(11 downto 0) := x"c0c";
556
  constant csr_hpmcounter13_c   : std_ulogic_vector(11 downto 0) := x"c0d";
557
  constant csr_hpmcounter14_c   : std_ulogic_vector(11 downto 0) := x"c0e";
558
  constant csr_hpmcounter15_c   : std_ulogic_vector(11 downto 0) := x"c0f";
559
  constant csr_hpmcounter16_c   : std_ulogic_vector(11 downto 0) := x"c10";
560
  constant csr_hpmcounter17_c   : std_ulogic_vector(11 downto 0) := x"c11";
561
  constant csr_hpmcounter18_c   : std_ulogic_vector(11 downto 0) := x"c12";
562
  constant csr_hpmcounter19_c   : std_ulogic_vector(11 downto 0) := x"c13";
563
  constant csr_hpmcounter20_c   : std_ulogic_vector(11 downto 0) := x"c14";
564
  constant csr_hpmcounter21_c   : std_ulogic_vector(11 downto 0) := x"c15";
565
  constant csr_hpmcounter22_c   : std_ulogic_vector(11 downto 0) := x"c16";
566
  constant csr_hpmcounter23_c   : std_ulogic_vector(11 downto 0) := x"c17";
567
  constant csr_hpmcounter24_c   : std_ulogic_vector(11 downto 0) := x"c18";
568
  constant csr_hpmcounter25_c   : std_ulogic_vector(11 downto 0) := x"c19";
569
  constant csr_hpmcounter26_c   : std_ulogic_vector(11 downto 0) := x"c1a";
570
  constant csr_hpmcounter27_c   : std_ulogic_vector(11 downto 0) := x"c1b";
571
  constant csr_hpmcounter28_c   : std_ulogic_vector(11 downto 0) := x"c1c";
572
  constant csr_hpmcounter29_c   : std_ulogic_vector(11 downto 0) := x"c1d";
573
  constant csr_hpmcounter30_c   : std_ulogic_vector(11 downto 0) := x"c1e";
574
  constant csr_hpmcounter31_c   : std_ulogic_vector(11 downto 0) := x"c1f";
575 29 zero_gravi
  --
576 42 zero_gravi
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
577
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
578
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
579 29 zero_gravi
  --
580 42 zero_gravi
  constant csr_hpmcounter3h_c   : std_ulogic_vector(11 downto 0) := x"c83";
581
  constant csr_hpmcounter4h_c   : std_ulogic_vector(11 downto 0) := x"c84";
582
  constant csr_hpmcounter5h_c   : std_ulogic_vector(11 downto 0) := x"c85";
583
  constant csr_hpmcounter6h_c   : std_ulogic_vector(11 downto 0) := x"c86";
584
  constant csr_hpmcounter7h_c   : std_ulogic_vector(11 downto 0) := x"c87";
585
  constant csr_hpmcounter8h_c   : std_ulogic_vector(11 downto 0) := x"c88";
586
  constant csr_hpmcounter9h_c   : std_ulogic_vector(11 downto 0) := x"c89";
587
  constant csr_hpmcounter10h_c  : std_ulogic_vector(11 downto 0) := x"c8a";
588
  constant csr_hpmcounter11h_c  : std_ulogic_vector(11 downto 0) := x"c8b";
589
  constant csr_hpmcounter12h_c  : std_ulogic_vector(11 downto 0) := x"c8c";
590
  constant csr_hpmcounter13h_c  : std_ulogic_vector(11 downto 0) := x"c8d";
591
  constant csr_hpmcounter14h_c  : std_ulogic_vector(11 downto 0) := x"c8e";
592
  constant csr_hpmcounter15h_c  : std_ulogic_vector(11 downto 0) := x"c8f";
593
  constant csr_hpmcounter16h_c  : std_ulogic_vector(11 downto 0) := x"c90";
594
  constant csr_hpmcounter17h_c  : std_ulogic_vector(11 downto 0) := x"c91";
595
  constant csr_hpmcounter18h_c  : std_ulogic_vector(11 downto 0) := x"c92";
596
  constant csr_hpmcounter19h_c  : std_ulogic_vector(11 downto 0) := x"c93";
597
  constant csr_hpmcounter20h_c  : std_ulogic_vector(11 downto 0) := x"c94";
598
  constant csr_hpmcounter21h_c  : std_ulogic_vector(11 downto 0) := x"c95";
599
  constant csr_hpmcounter22h_c  : std_ulogic_vector(11 downto 0) := x"c96";
600
  constant csr_hpmcounter23h_c  : std_ulogic_vector(11 downto 0) := x"c97";
601
  constant csr_hpmcounter24h_c  : std_ulogic_vector(11 downto 0) := x"c98";
602
  constant csr_hpmcounter25h_c  : std_ulogic_vector(11 downto 0) := x"c99";
603
  constant csr_hpmcounter26h_c  : std_ulogic_vector(11 downto 0) := x"c9a";
604
  constant csr_hpmcounter27h_c  : std_ulogic_vector(11 downto 0) := x"c9b";
605
  constant csr_hpmcounter28h_c  : std_ulogic_vector(11 downto 0) := x"c9c";
606
  constant csr_hpmcounter29h_c  : std_ulogic_vector(11 downto 0) := x"c9d";
607
  constant csr_hpmcounter30h_c  : std_ulogic_vector(11 downto 0) := x"c9e";
608
  constant csr_hpmcounter31h_c  : std_ulogic_vector(11 downto 0) := x"c9f";
609
  --
610
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
611
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
612
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
613
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
614 29 zero_gravi
 
615 42 zero_gravi
  -- custom read-only CSRs --
616
  constant csr_mzext_c          : std_ulogic_vector(11 downto 0) := x"fc0";
617
 
618 2 zero_gravi
  -- Co-Processor Operations ----------------------------------------------------------------
619
  -- -------------------------------------------------------------------------------------------
620
  -- cp ids --
621 39 zero_gravi
  constant cp_sel_muldiv_c : std_ulogic_vector(1 downto 0) := "00"; -- MULDIV
622
  constant cp_sel_atomic_c : std_ulogic_vector(1 downto 0) := "01"; -- atomic operations success/failure evaluation
623
--constant cp_sel_reserv_c : std_ulogic_vector(1 downto 0) := "10"; -- reserved
624
--constant cp_sel_reserv_c : std_ulogic_vector(1 downto 0) := "11"; -- reserved
625 2 zero_gravi
  -- muldiv cp --
626 36 zero_gravi
  constant cp_op_mul_c    : std_ulogic_vector(2 downto 0) := "000"; -- mul
627
  constant cp_op_mulh_c   : std_ulogic_vector(2 downto 0) := "001"; -- mulh
628
  constant cp_op_mulhsu_c : std_ulogic_vector(2 downto 0) := "010"; -- mulhsu
629
  constant cp_op_mulhu_c  : std_ulogic_vector(2 downto 0) := "011"; -- mulhu
630
  constant cp_op_div_c    : std_ulogic_vector(2 downto 0) := "100"; -- div
631
  constant cp_op_divu_c   : std_ulogic_vector(2 downto 0) := "101"; -- divu
632
  constant cp_op_rem_c    : std_ulogic_vector(2 downto 0) := "110"; -- rem
633
  constant cp_op_remu_c   : std_ulogic_vector(2 downto 0) := "111"; -- remu
634 2 zero_gravi
 
635
  -- ALU Function Codes ---------------------------------------------------------------------
636
  -- -------------------------------------------------------------------------------------------
637 39 zero_gravi
  -- arithmetic core --
638
  constant alu_arith_cmd_addsub_c : std_ulogic := '0'; -- r.arith <= A +/- B
639
  constant alu_arith_cmd_slt_c    : std_ulogic := '1'; -- r.arith <= A < B
640
  -- logic core --
641
  constant alu_logic_cmd_movb_c   : std_ulogic_vector(1 downto 0) := "00"; -- r.logic <= B
642
  constant alu_logic_cmd_xor_c    : std_ulogic_vector(1 downto 0) := "01"; -- r.logic <= A xor B
643
  constant alu_logic_cmd_or_c     : std_ulogic_vector(1 downto 0) := "10"; -- r.logic <= A or B
644
  constant alu_logic_cmd_and_c    : std_ulogic_vector(1 downto 0) := "11"; -- r.logic <= A and B
645
  -- function select (actual alu result) --
646
  constant alu_func_cmd_arith_c   : std_ulogic_vector(1 downto 0) := "00"; -- r <= r.arith
647
  constant alu_func_cmd_logic_c   : std_ulogic_vector(1 downto 0) := "01"; -- r <= r.logic
648
  constant alu_func_cmd_shift_c   : std_ulogic_vector(1 downto 0) := "10"; -- r <= A <</>> B (iterative)
649
  constant alu_func_cmd_copro_c   : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (iterative)
650 2 zero_gravi
 
651 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
652
  -- -------------------------------------------------------------------------------------------
653 39 zero_gravi
  -- RISC-V compliant exceptions --
654
  constant trap_ima_c   : std_ulogic_vector(5 downto 0) := "0" & "00000"; -- 0.0:  instruction misaligned
655
  constant trap_iba_c   : std_ulogic_vector(5 downto 0) := "0" & "00001"; -- 0.1:  instruction access fault
656
  constant trap_iil_c   : std_ulogic_vector(5 downto 0) := "0" & "00010"; -- 0.2:  illegal instruction
657
  constant trap_brk_c   : std_ulogic_vector(5 downto 0) := "0" & "00011"; -- 0.3:  breakpoint
658
  constant trap_lma_c   : std_ulogic_vector(5 downto 0) := "0" & "00100"; -- 0.4:  load address misaligned
659
  constant trap_lbe_c   : std_ulogic_vector(5 downto 0) := "0" & "00101"; -- 0.5:  load access fault
660
  constant trap_sma_c   : std_ulogic_vector(5 downto 0) := "0" & "00110"; -- 0.6:  store address misaligned
661
  constant trap_sbe_c   : std_ulogic_vector(5 downto 0) := "0" & "00111"; -- 0.7:  store access fault
662 40 zero_gravi
  constant trap_uenv_c  : std_ulogic_vector(5 downto 0) := "0" & "01000"; -- 0.8:  environment call from u-mode
663 39 zero_gravi
  constant trap_menv_c  : std_ulogic_vector(5 downto 0) := "0" & "01011"; -- 0.11: environment call from m-mode
664
  -- RISC-V compliant interrupts --
665
  constant trap_msi_c   : std_ulogic_vector(5 downto 0) := "1" & "00011"; -- 1.3:  machine software interrupt
666
  constant trap_mti_c   : std_ulogic_vector(5 downto 0) := "1" & "00111"; -- 1.7:  machine timer interrupt
667
  constant trap_mei_c   : std_ulogic_vector(5 downto 0) := "1" & "01011"; -- 1.11: machine external interrupt
668
  -- NEORV32-specific (custom) interrupts --
669 40 zero_gravi
  constant trap_reset_c : std_ulogic_vector(5 downto 0) := "1" & "00000"; -- 1.0:  hardware reset
670 39 zero_gravi
  constant trap_firq0_c : std_ulogic_vector(5 downto 0) := "1" & "10000"; -- 1.16: fast interrupt 0
671
  constant trap_firq1_c : std_ulogic_vector(5 downto 0) := "1" & "10001"; -- 1.17: fast interrupt 1
672
  constant trap_firq2_c : std_ulogic_vector(5 downto 0) := "1" & "10010"; -- 1.18: fast interrupt 2
673
  constant trap_firq3_c : std_ulogic_vector(5 downto 0) := "1" & "10011"; -- 1.19: fast interrupt 3
674 12 zero_gravi
 
675 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
676
  -- -------------------------------------------------------------------------------------------
677
  -- exception source bits --
678
  constant exception_iaccess_c   : natural := 0; -- instrution access fault
679
  constant exception_iillegal_c  : natural := 1; -- illegal instrution
680
  constant exception_ialign_c    : natural := 2; -- instrution address misaligned
681
  constant exception_m_envcall_c : natural := 3; -- ENV call from m-mode
682 40 zero_gravi
  constant exception_u_envcall_c : natural := 4; -- ENV call from u-mode
683
  constant exception_break_c     : natural := 5; -- breakpoint
684
  constant exception_salign_c    : natural := 6; -- store address misaligned
685
  constant exception_lalign_c    : natural := 7; -- load address misaligned
686
  constant exception_saccess_c   : natural := 8; -- store access fault
687
  constant exception_laccess_c   : natural := 9; -- load access fault
688 14 zero_gravi
  --
689 40 zero_gravi
  constant exception_width_c     : natural := 10; -- length of this list in bits
690 2 zero_gravi
  -- interrupt source bits --
691 12 zero_gravi
  constant interrupt_msw_irq_c   : natural := 0; -- machine software interrupt
692
  constant interrupt_mtime_irq_c : natural := 1; -- machine timer interrupt
693 2 zero_gravi
  constant interrupt_mext_irq_c  : natural := 2; -- machine external interrupt
694 14 zero_gravi
  constant interrupt_firq_0_c    : natural := 3; -- fast interrupt channel 0
695
  constant interrupt_firq_1_c    : natural := 4; -- fast interrupt channel 1
696
  constant interrupt_firq_2_c    : natural := 5; -- fast interrupt channel 2
697
  constant interrupt_firq_3_c    : natural := 6; -- fast interrupt channel 3
698
  --
699
  constant interrupt_width_c     : natural := 7; -- length of this list in bits
700 2 zero_gravi
 
701 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
702
  -- -------------------------------------------------------------------------------------------
703 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
704
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
705 15 zero_gravi
 
706 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
707
  -- -------------------------------------------------------------------------------------------
708
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
709
  constant hpmcnt_event_never_c   : natural := 1;
710
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
711
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
712
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
713
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
714
  constant hpmcnt_event_load_c    : natural := 6;  -- Load operation
715
  constant hpmcnt_event_store_c   : natural := 7;  -- Store operation
716
  constant hpmcnt_event_wait_ls_c : natural := 8;  -- Load/store memory wait cycle
717
  constant hpmcnt_event_jump_c    : natural := 9;  -- Unconditional jump
718
  constant hpmcnt_event_branch_c  : natural := 10; -- Conditional branch (taken or not taken)
719
  constant hpmcnt_event_tbranch_c : natural := 11; -- Conditional taken branch
720
  constant hpmcnt_event_trap_c    : natural := 12; -- Entered trap
721
  constant hpmcnt_event_illegal_c : natural := 13; -- Illegal instruction exception
722
  --
723
  constant hpmcnt_event_size_c    : natural := 14; -- length of this list
724
 
725 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
726 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
727
  constant clk_div2_c    : natural := 0;
728
  constant clk_div4_c    : natural := 1;
729
  constant clk_div8_c    : natural := 2;
730
  constant clk_div64_c   : natural := 3;
731
  constant clk_div128_c  : natural := 4;
732
  constant clk_div1024_c : natural := 5;
733
  constant clk_div2048_c : natural := 6;
734
  constant clk_div4096_c : natural := 7;
735
 
736
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
737
  -- -------------------------------------------------------------------------------------------
738
  component neorv32_top
739
    generic (
740
      -- General --
741 12 zero_gravi
      CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
742 8 zero_gravi
      BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
743 12 zero_gravi
      USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
744 36 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0) := (others => '0'); -- hardware thread id (hartid)
745 2 zero_gravi
      -- RISC-V CPU Extensions --
746 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
747 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
748 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
749 18 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
750
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
751 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
752 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
753 19 zero_gravi
      -- Extension Options --
754 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
755
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
756 15 zero_gravi
      -- Physical Memory Protection (PMP) --
757 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
758
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
759
      -- Hardware Performance Monitors (HPM) --
760
      HPM_NUM_CNTS                 : natural := 0;      -- number of inmplemnted HPM counters (0..29)
761 23 zero_gravi
      -- Internal Instruction memory --
762 34 zero_gravi
      MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
763 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
764 34 zero_gravi
      MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
765 23 zero_gravi
      -- Internal Data memory --
766 8 zero_gravi
      MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
767
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
768 41 zero_gravi
      -- Internal Cache memory --
769
      ICACHE_USE                   : boolean := false;  -- implement instruction cache
770
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
771
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
772 23 zero_gravi
      -- External memory interface --
773 8 zero_gravi
      MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
774 2 zero_gravi
      -- Processor peripherals --
775 8 zero_gravi
      IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
776
      IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
777
      IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
778
      IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
779
      IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
780
      IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
781
      IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
782
      IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
783 34 zero_gravi
      IO_CFU0_USE                  : boolean := false;  -- implement custom functions unit 0 (CFU0)?
784
      IO_CFU1_USE                  : boolean := false   -- implement custom functions unit 1 (CFU1)?
785 2 zero_gravi
    );
786
    port (
787
      -- Global control --
788 34 zero_gravi
      clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
789
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
790 2 zero_gravi
      -- Wishbone bus interface --
791 36 zero_gravi
      wb_tag_o    : out std_ulogic_vector(02 downto 0); -- tag
792 34 zero_gravi
      wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
793
      wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
794
      wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
795
      wb_we_o     : out std_ulogic; -- read/write
796
      wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
797
      wb_stb_o    : out std_ulogic; -- strobe
798
      wb_cyc_o    : out std_ulogic; -- valid cycle
799 39 zero_gravi
      wb_lock_o   : out std_ulogic; -- locked/exclusive bus access
800 34 zero_gravi
      wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
801
      wb_err_i    : in  std_ulogic := '0'; -- transfer error
802 12 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_USE = true) --
803 34 zero_gravi
      fence_o     : out std_ulogic; -- indicates an executed FENCE operation
804
      fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
805 2 zero_gravi
      -- GPIO --
806 34 zero_gravi
      gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
807
      gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
808 2 zero_gravi
      -- UART --
809 34 zero_gravi
      uart_txd_o  : out std_ulogic; -- UART send data
810
      uart_rxd_i  : in  std_ulogic := '0'; -- UART receive data
811 2 zero_gravi
      -- SPI --
812 34 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
813
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
814
      spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
815
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
816 2 zero_gravi
      -- TWI --
817 35 zero_gravi
      twi_sda_io  : inout std_logic; -- twi serial data line
818
      twi_scl_io  : inout std_logic; -- twi serial clock line
819 2 zero_gravi
      -- PWM --
820 40 zero_gravi
      pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
821
      -- system time input from external MTIME (available if IO_MTIME_USE = false) --
822
      mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
823 2 zero_gravi
      -- Interrupts --
824 34 zero_gravi
      mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_USE = false
825
      msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
826
      mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
827 2 zero_gravi
    );
828
  end component;
829
 
830 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
831
  -- -------------------------------------------------------------------------------------------
832
  component neorv32_cpu
833
    generic (
834
      -- General --
835 36 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0) := (others => '0'); -- hardware thread id
836
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0) := (others => '0'); -- cpu boot address
837 41 zero_gravi
      BUS_TIMEOUT                  : natural := 63;    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
838 4 zero_gravi
      -- RISC-V CPU Extensions --
839 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
840 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
841
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
842
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
843 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
844 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
845
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
846 19 zero_gravi
      -- Extension Options --
847
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
848 34 zero_gravi
      FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
849 15 zero_gravi
      -- Physical Memory Protection (PMP) --
850 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0; -- number of regions (0..64)
851
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
852
      -- Hardware Performance Monitors (HPM) --
853
      HPM_NUM_CNTS                 : natural := 0      -- number of inmplemnted HPM counters (0..29)
854 4 zero_gravi
    );
855
    port (
856
      -- global control --
857 14 zero_gravi
      clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
858
      rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
859 12 zero_gravi
      -- instruction bus interface --
860
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
861 14 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
862 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
863
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
864
      i_bus_we_o     : out std_ulogic; -- write enable
865
      i_bus_re_o     : out std_ulogic; -- read enable
866
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
867 14 zero_gravi
      i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
868
      i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
869 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
870 35 zero_gravi
      i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
871 39 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
872 12 zero_gravi
      -- data bus interface --
873
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
874 14 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
875 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
876
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
877
      d_bus_we_o     : out std_ulogic; -- write enable
878
      d_bus_re_o     : out std_ulogic; -- read enable
879
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
880 14 zero_gravi
      d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
881
      d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
882 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
883 35 zero_gravi
      d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
884 39 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- locked/exclusive access
885 11 zero_gravi
      -- system time input from MTIME --
886 14 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
887
      -- interrupts (risc-v compliant) --
888
      msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
889
      mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
890
      mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
891
      -- fast interrupts (custom) --
892
      firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
893 4 zero_gravi
    );
894
  end component;
895
 
896 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
897
  -- -------------------------------------------------------------------------------------------
898
  component neorv32_cpu_control
899
    generic (
900
      -- General --
901 12 zero_gravi
      HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= x"00000000"; -- hardware thread id
902
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
903 2 zero_gravi
      -- RISC-V CPU Extensions --
904 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
905 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
906
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
907
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
908 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
909 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
910 15 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
911
      -- Physical memory protection (PMP) --
912 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0; -- number of regions (0..64)
913
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
914
      -- Hardware Performance Monitors (HPM) --
915
      HPM_NUM_CNTS                 : natural := 0      -- number of inmplemnted HPM counters (0..29)
916 2 zero_gravi
    );
917
    port (
918
      -- global control --
919
      clk_i         : in  std_ulogic; -- global clock, rising edge
920
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
921
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
922
      -- status input --
923
      alu_wait_i    : in  std_ulogic; -- wait for ALU
924 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
925
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
926 2 zero_gravi
      -- data input --
927
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
928
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
929 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
930
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
931 2 zero_gravi
      -- data output --
932
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
933 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
934
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
935 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
936 14 zero_gravi
      -- interrupts (risc-v compliant) --
937
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
938
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
939 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
940 14 zero_gravi
      -- fast interrupts (custom) --
941
      firq_i        : in  std_ulogic_vector(3 downto 0);
942 11 zero_gravi
      -- system time input from MTIME --
943
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
944 15 zero_gravi
      -- physical memory protection --
945
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
946
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
947 2 zero_gravi
      -- bus access exceptions --
948
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
949
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
950
      ma_load_i     : in  std_ulogic; -- misaligned load data address
951
      ma_store_i    : in  std_ulogic; -- misaligned store data address
952
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
953
      be_load_i     : in  std_ulogic; -- bus error on load data access
954 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
955 2 zero_gravi
    );
956
  end component;
957
 
958
  -- Component: CPU Register File -----------------------------------------------------------
959
  -- -------------------------------------------------------------------------------------------
960
  component neorv32_cpu_regfile
961
    generic (
962
      CPU_EXTENSION_RISCV_E : boolean := false -- implement embedded RF extension?
963
    );
964
    port (
965
      -- global control --
966
      clk_i  : in  std_ulogic; -- global clock, rising edge
967
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
968
      -- data input --
969
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
970
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
971
      csr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
972
      -- data output --
973
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
974
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
975
    );
976
  end component;
977
 
978
  -- Component: CPU ALU ---------------------------------------------------------------------
979
  -- -------------------------------------------------------------------------------------------
980
  component neorv32_cpu_alu
981 11 zero_gravi
    generic (
982 34 zero_gravi
      CPU_EXTENSION_RISCV_M : boolean := true; -- implement muld/div extension?
983
      FAST_SHIFT_EN         : boolean := false -- use barrel shifter for shift operations
984 11 zero_gravi
    );
985 2 zero_gravi
    port (
986
      -- global control --
987
      clk_i       : in  std_ulogic; -- global clock, rising edge
988
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
989
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
990
      -- data input --
991
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
992
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
993
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
994
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
995
      -- data output --
996
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
997
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
998 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
999
      opb_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU operand B
1000 2 zero_gravi
      -- co-processor interface --
1001 19 zero_gravi
      cp0_start_o : out std_ulogic; -- trigger co-processor 0
1002 2 zero_gravi
      cp0_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 0 result
1003
      cp0_valid_i : in  std_ulogic; -- co-processor 0 result valid
1004 19 zero_gravi
      cp1_start_o : out std_ulogic; -- trigger co-processor 1
1005 2 zero_gravi
      cp1_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 1 result
1006
      cp1_valid_i : in  std_ulogic; -- co-processor 1 result valid
1007 36 zero_gravi
      cp2_start_o : out std_ulogic; -- trigger co-processor 2
1008
      cp2_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 2 result
1009
      cp2_valid_i : in  std_ulogic; -- co-processor 2 result valid
1010
      cp3_start_o : out std_ulogic; -- trigger co-processor 3
1011
      cp3_data_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- co-processor 3 result
1012
      cp3_valid_i : in  std_ulogic; -- co-processor 3 result valid
1013 2 zero_gravi
      -- status --
1014
      wait_o      : out std_ulogic -- busy due to iterative processing units
1015
    );
1016
  end component;
1017
 
1018
  -- Component: CPU Co-Processor MULDIV -----------------------------------------------------
1019
  -- -------------------------------------------------------------------------------------------
1020
  component neorv32_cpu_cp_muldiv
1021 19 zero_gravi
    generic (
1022
      FAST_MUL_EN : boolean := false -- use DSPs for faster multiplication
1023
    );
1024 2 zero_gravi
    port (
1025
      -- global control --
1026
      clk_i   : in  std_ulogic; -- global clock, rising edge
1027
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1028
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1029 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1030 2 zero_gravi
      -- data input --
1031
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1032
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1033
      -- result and status --
1034
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1035
      valid_o : out std_ulogic -- data output valid
1036
    );
1037
  end component;
1038
 
1039
  -- Component: CPU Bus Interface -----------------------------------------------------------
1040
  -- -------------------------------------------------------------------------------------------
1041
  component neorv32_cpu_bus
1042
    generic (
1043 40 zero_gravi
      CPU_EXTENSION_RISCV_C : boolean := true;  -- implement compressed extension?
1044 15 zero_gravi
      -- Physical memory protection (PMP) --
1045 42 zero_gravi
      PMP_NUM_REGIONS       : natural := 0;       -- number of regions (0..64)
1046
      PMP_MIN_GRANULARITY   : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1047 41 zero_gravi
      -- Bus Timeout --
1048
      BUS_TIMEOUT           : natural := 63     -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1049 2 zero_gravi
    );
1050
    port (
1051
      -- global control --
1052 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1053 38 zero_gravi
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
1054 12 zero_gravi
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1055
      -- cpu instruction fetch interface --
1056
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1057
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1058
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
1059
      --
1060
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
1061
      be_instr_o     : out std_ulogic; -- bus error on instruction access
1062
      -- cpu data access interface --
1063
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1064
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1065
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1066
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1067
      d_wait_o       : out std_ulogic; -- wait for access to complete
1068
      --
1069
      ma_load_o      : out std_ulogic; -- misaligned load data address
1070
      ma_store_o     : out std_ulogic; -- misaligned store data address
1071
      be_load_o      : out std_ulogic; -- bus error on load data access
1072
      be_store_o     : out std_ulogic; -- bus error on store data access
1073 15 zero_gravi
      -- physical memory protection --
1074
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
1075
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
1076 12 zero_gravi
      -- instruction bus --
1077
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1078
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1079
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1080
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1081
      i_bus_we_o     : out std_ulogic; -- write enable
1082
      i_bus_re_o     : out std_ulogic; -- read enable
1083
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
1084
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1085
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
1086
      i_bus_fence_o  : out std_ulogic; -- fence operation
1087 39 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
1088 12 zero_gravi
      -- data bus --
1089
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1090
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1091
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1092
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1093
      d_bus_we_o     : out std_ulogic; -- write enable
1094
      d_bus_re_o     : out std_ulogic; -- read enable
1095
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
1096
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1097
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
1098 39 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- fence operation
1099
      d_bus_lock_o   : out std_ulogic  -- locked/exclusive access
1100 2 zero_gravi
    );
1101
  end component;
1102
 
1103 41 zero_gravi
  -- Component: CPU Cache -------------------------------------------------------------------
1104
  -- -------------------------------------------------------------------------------------------
1105
  component neorv32_cache
1106
    generic (
1107
      CACHE_NUM_BLOCKS : natural := 4; -- number of blocks (min 1), has to be a power of 2
1108
      CACHE_BLOCK_SIZE : natural := 16 -- block size in bytes (min 4), has to be a power of 2
1109
    );
1110
    port (
1111
      -- global control --
1112
      clk_i         : in  std_ulogic; -- global clock, rising edge
1113
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1114
      clear_i       : in  std_ulogic; -- cache clear
1115
      -- host controller interface --
1116
      host_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1117
      host_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1118
      host_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1119
      host_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1120
      host_we_i     : in  std_ulogic; -- write enable
1121
      host_re_i     : in  std_ulogic; -- read enable
1122
      host_cancel_i : in  std_ulogic; -- cancel current bus transaction
1123
      host_lock_i   : in  std_ulogic; -- locked/exclusive access
1124
      host_ack_o    : out std_ulogic; -- bus transfer acknowledge
1125
      host_err_o    : out std_ulogic; -- bus transfer error
1126
      -- peripheral bus interface --
1127
      bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1128
      bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1129
      bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1130
      bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1131
      bus_we_o      : out std_ulogic; -- write enable
1132
      bus_re_o      : out std_ulogic; -- read enable
1133
      bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
1134
      bus_lock_o    : out std_ulogic; -- locked/exclusive access
1135
      bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1136
      bus_err_i     : in  std_ulogic  -- bus transfer error
1137
    );
1138
  end component;
1139
 
1140 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1141
  -- -------------------------------------------------------------------------------------------
1142
  component neorv32_busswitch
1143
    generic (
1144
      PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
1145
      PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
1146
    );
1147
    port (
1148
      -- global control --
1149
      clk_i           : in  std_ulogic; -- global clock, rising edge
1150
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
1151
      -- controller interface a --
1152
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1153
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1154
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1155
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1156
      ca_bus_we_i     : in  std_ulogic; -- write enable
1157
      ca_bus_re_i     : in  std_ulogic; -- read enable
1158
      ca_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
1159 39 zero_gravi
      ca_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
1160 12 zero_gravi
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1161
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
1162
      -- controller interface b --
1163
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1164
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1165
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1166
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1167
      cb_bus_we_i     : in  std_ulogic; -- write enable
1168
      cb_bus_re_i     : in  std_ulogic; -- read enable
1169
      cb_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
1170 39 zero_gravi
      cb_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
1171 12 zero_gravi
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1172
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
1173
      -- peripheral bus --
1174 36 zero_gravi
      p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
1175 12 zero_gravi
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1176
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1177
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1178
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1179
      p_bus_we_o      : out std_ulogic; -- write enable
1180
      p_bus_re_o      : out std_ulogic; -- read enable
1181
      p_bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
1182 39 zero_gravi
      p_bus_lock_o    : out std_ulogic; -- locked/exclusive access
1183 12 zero_gravi
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1184
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
1185
    );
1186
  end component;
1187
 
1188 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
1189
  -- -------------------------------------------------------------------------------------------
1190
  component neorv32_cpu_decompressor
1191
    port (
1192
      -- instruction input --
1193
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1194
      -- instruction output --
1195
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1196
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1197
    );
1198
  end component;
1199
 
1200
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1201
  -- -------------------------------------------------------------------------------------------
1202
  component neorv32_imem
1203
    generic (
1204
      IMEM_BASE      : std_ulogic_vector(31 downto 0) := x"00000000"; -- memory base address
1205
      IMEM_SIZE      : natural := 4*1024; -- processor-internal instruction memory size in bytes
1206
      IMEM_AS_ROM    : boolean := false;  -- implement IMEM as read-only memory?
1207
      BOOTLOADER_USE : boolean := true    -- implement and use bootloader?
1208
    );
1209
    port (
1210
      clk_i  : in  std_ulogic; -- global clock line
1211
      rden_i : in  std_ulogic; -- read enable
1212
      wren_i : in  std_ulogic; -- write enable
1213
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1214
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1215
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1216
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1217
      ack_o  : out std_ulogic -- transfer acknowledge
1218
    );
1219
  end component;
1220
 
1221
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1222
  -- -------------------------------------------------------------------------------------------
1223
  component neorv32_dmem
1224
    generic (
1225
      DMEM_BASE : std_ulogic_vector(31 downto 0) := x"80000000"; -- memory base address
1226
      DMEM_SIZE : natural := 4*1024  -- processor-internal instruction memory size in bytes
1227
    );
1228
    port (
1229
      clk_i  : in  std_ulogic; -- global clock line
1230
      rden_i : in  std_ulogic; -- read enable
1231
      wren_i : in  std_ulogic; -- write enable
1232
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1233
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1234
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1235
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1236
      ack_o  : out std_ulogic -- transfer acknowledge
1237
    );
1238
  end component;
1239
 
1240
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1241
  -- -------------------------------------------------------------------------------------------
1242
  component neorv32_boot_rom
1243 23 zero_gravi
    generic (
1244
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) := x"FFFF0000"; -- boot ROM base address
1245
      BOOTROM_SIZE : natural := 4*1024  -- processor-internal boot ROM memory size in bytes
1246
    );
1247 2 zero_gravi
    port (
1248
      clk_i  : in  std_ulogic; -- global clock line
1249
      rden_i : in  std_ulogic; -- read enable
1250
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1251
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1252
      ack_o  : out std_ulogic -- transfer acknowledge
1253
    );
1254
  end component;
1255
 
1256
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1257
  -- -------------------------------------------------------------------------------------------
1258
  component neorv32_mtime
1259
    port (
1260
      -- host access --
1261
      clk_i     : in  std_ulogic; -- global clock line
1262 4 zero_gravi
      rstn_i    : in  std_ulogic := '0'; -- global reset, low-active, async
1263 2 zero_gravi
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1264
      rden_i    : in  std_ulogic; -- read enable
1265
      wren_i    : in  std_ulogic; -- write enable
1266
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1267
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1268
      ack_o     : out std_ulogic; -- transfer acknowledge
1269 11 zero_gravi
      -- time output for CPU --
1270
      time_o    : out std_ulogic_vector(63 downto 0); -- current system time
1271 2 zero_gravi
      -- interrupt --
1272
      irq_o     : out std_ulogic  -- interrupt request
1273
    );
1274
  end component;
1275
 
1276
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1277
  -- -------------------------------------------------------------------------------------------
1278
  component neorv32_gpio
1279
    port (
1280
      -- host access --
1281
      clk_i  : in  std_ulogic; -- global clock line
1282
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1283
      rden_i : in  std_ulogic; -- read enable
1284
      wren_i : in  std_ulogic; -- write enable
1285
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1286
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1287
      ack_o  : out std_ulogic; -- transfer acknowledge
1288
      -- parallel io --
1289 22 zero_gravi
      gpio_o : out std_ulogic_vector(31 downto 0);
1290
      gpio_i : in  std_ulogic_vector(31 downto 0);
1291 2 zero_gravi
      -- interrupt --
1292
      irq_o  : out std_ulogic
1293
    );
1294
  end component;
1295
 
1296
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1297
  -- -------------------------------------------------------------------------------------------
1298
  component neorv32_wdt
1299
    port (
1300
      -- host access --
1301
      clk_i       : in  std_ulogic; -- global clock line
1302
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1303
      rden_i      : in  std_ulogic; -- read enable
1304
      wren_i      : in  std_ulogic; -- write enable
1305
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1306
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1307
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1308
      ack_o       : out std_ulogic; -- transfer acknowledge
1309
      -- clock generator --
1310
      clkgen_en_o : out std_ulogic; -- enable clock generator
1311
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1312
      -- timeout event --
1313
      irq_o       : out std_ulogic; -- timeout IRQ
1314
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1315
    );
1316
  end component;
1317
 
1318
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1319
  -- -------------------------------------------------------------------------------------------
1320
  component neorv32_uart
1321
    port (
1322
      -- host access --
1323
      clk_i       : in  std_ulogic; -- global clock line
1324
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1325
      rden_i      : in  std_ulogic; -- read enable
1326
      wren_i      : in  std_ulogic; -- write enable
1327
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1328
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1329
      ack_o       : out std_ulogic; -- transfer acknowledge
1330
      -- clock generator --
1331
      clkgen_en_o : out std_ulogic; -- enable clock generator
1332
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1333
      -- com lines --
1334
      uart_txd_o  : out std_ulogic;
1335
      uart_rxd_i  : in  std_ulogic;
1336
      -- interrupts --
1337
      uart_irq_o  : out std_ulogic  -- uart rx/tx interrupt
1338
    );
1339
  end component;
1340
 
1341
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1342
  -- -------------------------------------------------------------------------------------------
1343
  component neorv32_spi
1344
    port (
1345
      -- host access --
1346
      clk_i       : in  std_ulogic; -- global clock line
1347
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1348
      rden_i      : in  std_ulogic; -- read enable
1349
      wren_i      : in  std_ulogic; -- write enable
1350
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1351
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1352
      ack_o       : out std_ulogic; -- transfer acknowledge
1353
      -- clock generator --
1354
      clkgen_en_o : out std_ulogic; -- enable clock generator
1355
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1356
      -- com lines --
1357 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1358
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1359
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1360 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1361
      -- interrupt --
1362
      spi_irq_o   : out std_ulogic -- transmission done interrupt
1363
    );
1364
  end component;
1365
 
1366
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1367
  -- -------------------------------------------------------------------------------------------
1368
  component neorv32_twi
1369
    port (
1370
      -- host access --
1371
      clk_i       : in  std_ulogic; -- global clock line
1372
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1373
      rden_i      : in  std_ulogic; -- read enable
1374
      wren_i      : in  std_ulogic; -- write enable
1375
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1376
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1377
      ack_o       : out std_ulogic; -- transfer acknowledge
1378
      -- clock generator --
1379
      clkgen_en_o : out std_ulogic; -- enable clock generator
1380
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1381
      -- com lines --
1382
      twi_sda_io  : inout std_logic; -- serial data line
1383
      twi_scl_io  : inout std_logic; -- serial clock line
1384
      -- interrupt --
1385
      twi_irq_o   : out std_ulogic -- transfer done IRQ
1386
    );
1387
  end component;
1388
 
1389
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1390
  -- -------------------------------------------------------------------------------------------
1391
  component neorv32_pwm
1392
    port (
1393
      -- host access --
1394
      clk_i       : in  std_ulogic; -- global clock line
1395
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1396
      rden_i      : in  std_ulogic; -- read enable
1397
      wren_i      : in  std_ulogic; -- write enable
1398
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1399
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1400
      ack_o       : out std_ulogic; -- transfer acknowledge
1401
      -- clock generator --
1402
      clkgen_en_o : out std_ulogic; -- enable clock generator
1403
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1404
      -- pwm output channels --
1405
      pwm_o       : out std_ulogic_vector(03 downto 0)
1406
    );
1407
  end component;
1408
 
1409
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1410
  -- -------------------------------------------------------------------------------------------
1411
  component neorv32_trng
1412
    port (
1413
      -- host access --
1414
      clk_i  : in  std_ulogic; -- global clock line
1415
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1416
      rden_i : in  std_ulogic; -- read enable
1417
      wren_i : in  std_ulogic; -- write enable
1418
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1419
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1420
      ack_o  : out std_ulogic  -- transfer acknowledge
1421
    );
1422
  end component;
1423
 
1424
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1425
  -- -------------------------------------------------------------------------------------------
1426
  component neorv32_wishbone
1427
    generic (
1428 35 zero_gravi
      WB_PIPELINED_MODE : boolean := false; -- false: classic/standard wishbone mode, true: pipelined wishbone mode
1429 23 zero_gravi
      -- Internal instruction memory --
1430 35 zero_gravi
      MEM_INT_IMEM_USE  : boolean := true;   -- implement processor-internal instruction memory
1431
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1432 23 zero_gravi
      -- Internal data memory --
1433 35 zero_gravi
      MEM_INT_DMEM_USE  : boolean := true;   -- implement processor-internal data memory
1434
      MEM_INT_DMEM_SIZE : natural := 4*1024  -- size of processor-internal data memory in bytes
1435 2 zero_gravi
    );
1436
    port (
1437
      -- global control --
1438 39 zero_gravi
      clk_i     : in  std_ulogic; -- global clock line
1439
      rstn_i    : in  std_ulogic; -- global reset line, low-active
1440 2 zero_gravi
      -- host access --
1441 39 zero_gravi
      src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
1442
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1443
      rden_i    : in  std_ulogic; -- read enable
1444
      wren_i    : in  std_ulogic; -- write enable
1445
      ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
1446
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1447
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1448
      cancel_i  : in  std_ulogic; -- cancel current bus transaction
1449
      lock_i    : in  std_ulogic; -- locked/exclusive bus access
1450
      ack_o     : out std_ulogic; -- transfer acknowledge
1451
      err_o     : out std_ulogic; -- transfer error
1452
      priv_i    : in  std_ulogic_vector(1 downto 0); -- current CPU privilege level
1453 2 zero_gravi
      -- wishbone interface --
1454 39 zero_gravi
      wb_tag_o  : out std_ulogic_vector(2 downto 0); -- tag
1455
      wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
1456
      wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
1457
      wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
1458
      wb_we_o   : out std_ulogic; -- read/write
1459
      wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
1460
      wb_stb_o  : out std_ulogic; -- strobe
1461
      wb_cyc_o  : out std_ulogic; -- valid cycle
1462
      wb_lock_o : out std_ulogic; -- locked/exclusive bus access
1463
      wb_ack_i  : in  std_ulogic; -- transfer acknowledge
1464
      wb_err_i  : in  std_ulogic  -- transfer error
1465 2 zero_gravi
    );
1466
  end component;
1467
 
1468 34 zero_gravi
  -- Component: Custom Functions Unit 0 (CFU0) ----------------------------------------------
1469 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1470 34 zero_gravi
  component neorv32_cfu0
1471 23 zero_gravi
    port (
1472
      -- host access --
1473
      clk_i       : in  std_ulogic; -- global clock line
1474
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1475
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1476
      rden_i      : in  std_ulogic; -- read enable
1477
      wren_i      : in  std_ulogic; -- write enable
1478
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1479
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1480
      ack_o       : out std_ulogic; -- transfer acknowledge
1481
      -- clock generator --
1482
      clkgen_en_o : out std_ulogic; -- enable clock generator
1483 34 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0) -- "clock" inputs
1484 23 zero_gravi
      -- custom io --
1485
      -- ...
1486
    );
1487
  end component;
1488
 
1489 34 zero_gravi
  -- Component: Custom Functions Unit 1 (CFU1) ----------------------------------------------
1490
  -- -------------------------------------------------------------------------------------------
1491
  component neorv32_cfu1
1492
    port (
1493
      -- host access --
1494
      clk_i       : in  std_ulogic; -- global clock line
1495
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1496
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1497
      rden_i      : in  std_ulogic; -- read enable
1498
      wren_i      : in  std_ulogic; -- write enable
1499
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1500
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1501
      ack_o       : out std_ulogic; -- transfer acknowledge
1502
      -- clock generator --
1503
      clkgen_en_o : out std_ulogic; -- enable clock generator
1504
      clkgen_i    : in  std_ulogic_vector(07 downto 0) -- "clock" inputs
1505
      -- custom io --
1506
      -- ...
1507
    );
1508
  end component;
1509
 
1510 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1511
  -- -------------------------------------------------------------------------------------------
1512 12 zero_gravi
  component neorv32_sysinfo
1513
    generic (
1514
      -- General --
1515 41 zero_gravi
      CLOCK_FREQUENCY      : natural := 0;      -- clock frequency of clk_i in Hz
1516
      BOOTLOADER_USE       : boolean := true;   -- implement processor-internal bootloader?
1517
      USER_CODE            : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
1518 23 zero_gravi
      -- Internal Instruction memory --
1519 41 zero_gravi
      MEM_INT_IMEM_USE     : boolean := true;   -- implement processor-internal instruction memory
1520
      MEM_INT_IMEM_SIZE    : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1521
      MEM_INT_IMEM_ROM     : boolean := false;  -- implement processor-internal instruction memory as ROM
1522 23 zero_gravi
      -- Internal Data memory --
1523 41 zero_gravi
      MEM_INT_DMEM_USE     : boolean := true;   -- implement processor-internal data memory
1524
      MEM_INT_DMEM_SIZE    : natural := 4*1024; -- size of processor-internal data memory in bytes
1525
      -- Internal Cache memory --
1526
      ICACHE_USE           : boolean := true;   -- implement instruction cache
1527
      ICACHE_NUM_BLOCKS    : natural := 4;      -- i-cache: number of blocks (min 2), has to be a power of 2
1528
      ICACHE_BLOCK_SIZE    : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
1529
      ICACHE_ASSOCIATIVITY : natural := 1;      -- i-cache: associativity (min 1), has to be a power 2
1530 23 zero_gravi
      -- External memory interface --
1531 41 zero_gravi
      MEM_EXT_USE          : boolean := false;  -- implement external memory bus interface?
1532 12 zero_gravi
      -- Processor peripherals --
1533 41 zero_gravi
      IO_GPIO_USE          : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
1534
      IO_MTIME_USE         : boolean := true;   -- implement machine system timer (MTIME)?
1535
      IO_UART_USE          : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
1536
      IO_SPI_USE           : boolean := true;   -- implement serial peripheral interface (SPI)?
1537
      IO_TWI_USE           : boolean := true;   -- implement two-wire interface (TWI)?
1538
      IO_PWM_USE           : boolean := true;   -- implement pulse-width modulation unit (PWM)?
1539
      IO_WDT_USE           : boolean := true;   -- implement watch dog timer (WDT)?
1540
      IO_TRNG_USE          : boolean := true;   -- implement true random number generator (TRNG)?
1541
      IO_CFU0_USE          : boolean := true;   -- implement custom functions unit 0 (CFU0)?
1542
      IO_CFU1_USE          : boolean := true    -- implement custom functions unit 1 (CFU1)?
1543 12 zero_gravi
    );
1544
    port (
1545
      -- host access --
1546
      clk_i  : in  std_ulogic; -- global clock line
1547
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1548
      rden_i : in  std_ulogic; -- read enable
1549
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1550
      ack_o  : out std_ulogic  -- transfer acknowledge
1551
    );
1552
  end component;
1553
 
1554 2 zero_gravi
end neorv32_package;
1555
 
1556
package body neorv32_package is
1557
 
1558 41 zero_gravi
  -- Function: Minimal required number of bits to represent input number --------------------
1559 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1560
  function index_size_f(input : natural) return natural is
1561
  begin
1562
    for i in 0 to natural'high loop
1563
      if (2**i >= input) then
1564
        return i;
1565
      end if;
1566
    end loop; -- i
1567
    return 0;
1568
  end function index_size_f;
1569
 
1570
  -- Function: Conditional select natural ---------------------------------------------------
1571
  -- -------------------------------------------------------------------------------------------
1572
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
1573
  begin
1574
    if (cond = true) then
1575
      return val_t;
1576
    else
1577
      return val_f;
1578
    end if;
1579
  end function cond_sel_natural_f;
1580
 
1581
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
1582
  -- -------------------------------------------------------------------------------------------
1583
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
1584
  begin
1585
    if (cond = true) then
1586
      return val_t;
1587
    else
1588
      return val_f;
1589
    end if;
1590
  end function cond_sel_stdulogicvector_f;
1591
 
1592
  -- Function: Convert BOOL to STD_ULOGIC ---------------------------------------------------
1593
  -- -------------------------------------------------------------------------------------------
1594
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
1595
  begin
1596
    if (cond = true) then
1597
      return '1';
1598
    else
1599
      return '0';
1600
    end if;
1601
  end function bool_to_ulogic_f;
1602
 
1603
  -- Function: OR all bits ------------------------------------------------------------------
1604
  -- -------------------------------------------------------------------------------------------
1605
  function or_all_f(a : std_ulogic_vector) return std_ulogic is
1606
    variable tmp_v : std_ulogic;
1607
  begin
1608
    tmp_v := a(a'low);
1609 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1610
      for i in a'low+1 to a'high loop
1611
        tmp_v := tmp_v or a(i);
1612
      end loop; -- i
1613
    end if;
1614 2 zero_gravi
    return tmp_v;
1615
  end function or_all_f;
1616
 
1617
  -- Function: AND all bits -----------------------------------------------------------------
1618
  -- -------------------------------------------------------------------------------------------
1619
  function and_all_f(a : std_ulogic_vector) return std_ulogic is
1620
    variable tmp_v : std_ulogic;
1621
  begin
1622
    tmp_v := a(a'low);
1623 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1624
      for i in a'low+1 to a'high loop
1625
        tmp_v := tmp_v and a(i);
1626
      end loop; -- i
1627
    end if;
1628 2 zero_gravi
    return tmp_v;
1629
  end function and_all_f;
1630
 
1631
  -- Function: XOR all bits -----------------------------------------------------------------
1632
  -- -------------------------------------------------------------------------------------------
1633
  function xor_all_f(a : std_ulogic_vector) return std_ulogic is
1634
    variable tmp_v : std_ulogic;
1635
  begin
1636
    tmp_v := a(a'low);
1637 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1638
      for i in a'low+1 to a'high loop
1639
        tmp_v := tmp_v xor a(i);
1640
      end loop; -- i
1641
    end if;
1642 2 zero_gravi
    return tmp_v;
1643
  end function xor_all_f;
1644
 
1645
  -- Function: XNOR all bits ----------------------------------------------------------------
1646
  -- -------------------------------------------------------------------------------------------
1647
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic is
1648
    variable tmp_v : std_ulogic;
1649
  begin
1650
    tmp_v := a(a'low);
1651 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1652
      for i in a'low+1 to a'high loop
1653
        tmp_v := tmp_v xnor a(i);
1654
      end loop; -- i
1655
    end if;
1656 2 zero_gravi
    return tmp_v;
1657
  end function xnor_all_f;
1658
 
1659 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
1660 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
1661
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
1662
    variable output_v : character;
1663
  begin
1664
    case input is
1665 7 zero_gravi
      when x"0"   => output_v := '0';
1666
      when x"1"   => output_v := '1';
1667
      when x"2"   => output_v := '2';
1668
      when x"3"   => output_v := '3';
1669
      when x"4"   => output_v := '4';
1670
      when x"5"   => output_v := '5';
1671
      when x"6"   => output_v := '6';
1672
      when x"7"   => output_v := '7';
1673
      when x"8"   => output_v := '8';
1674
      when x"9"   => output_v := '9';
1675
      when x"a"   => output_v := 'a';
1676
      when x"b"   => output_v := 'b';
1677
      when x"c"   => output_v := 'c';
1678
      when x"d"   => output_v := 'd';
1679
      when x"e"   => output_v := 'e';
1680
      when x"f"   => output_v := 'f';
1681 6 zero_gravi
      when others => output_v := '?';
1682
    end case;
1683
    return output_v;
1684
  end function to_hexchar_f;
1685
 
1686 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
1687
  -- -------------------------------------------------------------------------------------------
1688
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
1689
    variable hex_value_v : std_ulogic_vector(3 downto 0);
1690
  begin
1691
    case input is
1692
      when '0'       => hex_value_v := x"0";
1693
      when '1'       => hex_value_v := x"1";
1694
      when '2'       => hex_value_v := x"2";
1695
      when '3'       => hex_value_v := x"3";
1696
      when '4'       => hex_value_v := x"4";
1697
      when '5'       => hex_value_v := x"5";
1698
      when '6'       => hex_value_v := x"6";
1699
      when '7'       => hex_value_v := x"7";
1700
      when '8'       => hex_value_v := x"8";
1701
      when '9'       => hex_value_v := x"9";
1702
      when 'a' | 'A' => hex_value_v := x"a";
1703
      when 'b' | 'B' => hex_value_v := x"b";
1704
      when 'c' | 'C' => hex_value_v := x"c";
1705
      when 'd' | 'D' => hex_value_v := x"d";
1706
      when 'e' | 'E' => hex_value_v := x"e";
1707
      when 'f' | 'F' => hex_value_v := x"f";
1708
      when others    => hex_value_v := (others => 'X');
1709
    end case;
1710
    return hex_value_v;
1711
  end function hexchar_to_stdulogicvector_f;
1712
 
1713 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
1714
  -- -------------------------------------------------------------------------------------------
1715
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
1716
    variable output_v : std_ulogic_vector(input'range);
1717
  begin
1718
    for i in 0 to input'length-1 loop
1719
      output_v(input'length-i-1) := input(i);
1720
    end loop; -- i
1721
    return output_v;
1722
  end function bit_rev_f;
1723
 
1724 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
1725
  -- -------------------------------------------------------------------------------------------
1726
  function is_power_of_two_f(input : natural) return boolean is
1727
  begin
1728 38 zero_gravi
    if (input = 1) then -- 2^0
1729 36 zero_gravi
      return true;
1730 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
1731
      return true;
1732 36 zero_gravi
    else
1733
      return false;
1734
    end if;
1735
  end function is_power_of_two_f;
1736
 
1737 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
1738
  -- -------------------------------------------------------------------------------------------
1739
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
1740
    variable output_v : std_ulogic_vector(input'range);
1741
  begin
1742
    output_v(07 downto 00) := input(31 downto 24);
1743
    output_v(15 downto 08) := input(23 downto 16);
1744
    output_v(23 downto 16) := input(15 downto 08);
1745
    output_v(31 downto 24) := input(07 downto 00);
1746
    return output_v;
1747
  end function bswap32_f;
1748
 
1749 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.