OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 52

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 40 zero_gravi
  -- (external) bus interface --
48 41 zero_gravi
  constant bus_timeout_c     : natural := 127; -- cycles after which an *unacknowledged* bus access will timeout and trigger a bus fault exception (min 2)
49 40 zero_gravi
  constant wb_pipe_mode_c    : boolean := false; -- *external* bus protocol: false=classic/standard wishbone mode (default), true=pipelined wishbone mode
50
  constant xbus_big_endian_c : boolean := true; -- external memory access byte order: true=big endian (default); false=little endian
51
 
52
  -- CPU core --
53 41 zero_gravi
  constant ipb_entries_c : natural := 2; -- entries in CPU instruction prefetch buffer, has to be a power of 2, default=2
54 40 zero_gravi
 
55 47 zero_gravi
  -- "critical" number of PMP regions --
56
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically
57
  -- inserted into the memory interfaces increasing instruction fetch & data access latency by +1 cycle!
58
  constant pmp_num_regions_critical_c : natural := 8;
59
 
60 48 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
61 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
62 47 zero_gravi
  constant data_width_c   : natural := 32; -- native data path width - do not change!
63 52 zero_gravi
  constant hw_version_c   : std_ulogic_vector(31 downto 0) := x"01050204"; -- no touchy!
64 40 zero_gravi
  constant pmp_max_r_c    : natural := 8; -- max PMP regions - FIXED!
65
  constant archid_c       : natural := 19; -- official NEORV32 architecture ID - hands off!
66 42 zero_gravi
  constant rf_r0_is_reg_c : boolean := true; -- reg_file.r0 is a *physical register* that has to be initialized to zero by the CPU HW
67 27 zero_gravi
 
68 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
69 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
70
  function index_size_f(input : natural) return natural;
71
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
72
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
73 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
74 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
75 15 zero_gravi
  function or_all_f(a : std_ulogic_vector) return std_ulogic;
76
  function and_all_f(a : std_ulogic_vector) return std_ulogic;
77
  function xor_all_f(a : std_ulogic_vector) return std_ulogic;
78 2 zero_gravi
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic;
79 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
80 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
81 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
82 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
83 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
84 2 zero_gravi
 
85 15 zero_gravi
  -- Internal Types -------------------------------------------------------------------------
86
  -- -------------------------------------------------------------------------------------------
87 42 zero_gravi
  type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0);
88
  type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0);
89 49 zero_gravi
  type cp_data_if_t  is array (0 to 7)  of std_ulogic_vector(data_width_c-1 downto 0);
90 15 zero_gravi
 
91 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
92
  -- -------------------------------------------------------------------------------------------
93 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
94 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
95
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
96 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
97 2 zero_gravi
 
98 23 zero_gravi
  -- Internal Bootloader ROM --
99
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFF0000"; -- bootloader base address, fixed!
100 47 zero_gravi
  constant boot_rom_size_c      : natural := 4*1024; -- module's address space in bytes
101
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space in bytes, fixed!
102 23 zero_gravi
 
103 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
104
  -- Control register(s) (including the device-enable) should be located at the base address of each device
105 47 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF00";
106
  constant io_size_c            : natural := 64*4; -- module's address space in bytes, fixed!
107 2 zero_gravi
 
108 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
109
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF00"; -- base address
110
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
111
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF00";
112
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF04";
113
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF08";
114
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF0C";
115
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF10";
116
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF14";
117
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF18";
118
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF1C";
119
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF20";
120
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF24";
121
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF28";
122
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF2C";
123
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF30";
124
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF34";
125
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF38";
126
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF3C";
127
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF40";
128
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF44";
129
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF48";
130
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF4C";
131
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF50";
132
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF54";
133
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF58";
134
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF5C";
135
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF60";
136
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF64";
137
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF68";
138
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF6C";
139
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF70";
140
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF74";
141
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF78";
142
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF7C";
143
 
144 2 zero_gravi
  -- General Purpose Input/Output Unit (GPIO) --
145 47 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80"; -- base address
146
  constant gpio_size_c          : natural := 2*4; -- module's address space in bytes
147 23 zero_gravi
  constant gpio_in_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF80";
148
  constant gpio_out_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF84";
149 2 zero_gravi
 
150 30 zero_gravi
  -- True Random Number Generator (TRNG) --
151 47 zero_gravi
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88"; -- base address
152
  constant trng_size_c          : natural := 1*4; -- module's address space in bytes
153 30 zero_gravi
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF88";
154 2 zero_gravi
 
155
  -- Watch Dog Timer (WDT) --
156 47 zero_gravi
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C"; -- base address
157
  constant wdt_size_c           : natural := 1*4; -- module's address space in bytes
158 23 zero_gravi
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF8C";
159 2 zero_gravi
 
160
  -- Machine System Timer (MTIME) --
161 47 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90"; -- base address
162
  constant mtime_size_c         : natural := 4*4; -- module's address space in bytes
163 23 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF90";
164
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF94";
165
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF98";
166
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFF9C";
167 2 zero_gravi
 
168 50 zero_gravi
  -- Universal Asynchronous Receiver/Transmitter 0 (UART0), primary UART --
169
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0"; -- base address
170
  constant uart0_size_c         : natural := 2*4; -- module's address space in bytes
171
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA0";
172
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA4";
173 2 zero_gravi
 
174
  -- Serial Peripheral Interface (SPI) --
175 47 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8"; -- base address
176
  constant spi_size_c           : natural := 2*4; -- module's address space in bytes
177 23 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFA8";
178
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFAC";
179 2 zero_gravi
 
180
  -- Two Wire Interface (TWI) --
181 47 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0"; -- base address
182
  constant twi_size_c           : natural := 2*4; -- module's address space in bytes
183 23 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB0";
184
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB4";
185 2 zero_gravi
 
186
  -- Pulse-Width Modulation Controller (PWM) --
187 47 zero_gravi
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8"; -- base address
188
  constant pwm_size_c           : natural := 2*4; -- module's address space in bytes
189 23 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFB8";
190
  constant pwm_duty_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFBC";
191 2 zero_gravi
 
192 49 zero_gravi
  -- Numerically-Controlled Oscillator (NCO) --
193
  constant nco_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0"; -- base address
194
  constant nco_size_c           : natural := 4*4; -- module's address space in bytes
195
  constant nco_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC0";
196
  constant nco_ch0_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC4";
197
  constant nco_ch1_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFC8";
198
  constant nco_ch2_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFCC";
199
 
200 50 zero_gravi
  -- Universal Asynchronous Receiver/Transmitter 1 (UART1), secondary UART --
201
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0"; -- base address
202
  constant uart1_size_c         : natural := 2*4; -- module's address space in bytes
203
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD0";
204
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD4";
205
 
206 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
207
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD8"; -- base address
208
  constant neoled_size_c        : natural := 2*4; -- module's address space in bytes
209
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFD8";
210
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFDC";
211 12 zero_gravi
 
212 23 zero_gravi
  -- System Information Memory (SYSINFO) --
213 47 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"FFFFFFE0"; -- base address
214
  constant sysinfo_size_c       : natural := 8*4; -- module's address space in bytes
215 12 zero_gravi
 
216 2 zero_gravi
  -- Main Control Bus -----------------------------------------------------------------------
217
  -- -------------------------------------------------------------------------------------------
218
  -- register file --
219 49 zero_gravi
  constant ctrl_rf_in_mux_c     : natural :=  0; -- input source select lsb (0=MEM, 1=ALU)
220
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
221
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
222
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
223
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
224
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
225
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
226
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
227
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
228
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
229
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
230
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destiantion register address bit 0
231
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destiantion register address bit 1
232
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destiantion register address bit 2
233
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destiantion register address bit 3
234
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destiantion register address bit 4
235
  constant ctrl_rf_wb_en_c      : natural := 16; -- write back enable
236
  constant ctrl_rf_r0_we_c      : natural := 17; -- force write access and force rd=r0
237 2 zero_gravi
  -- alu --
238 49 zero_gravi
  constant ctrl_alu_arith_c     : natural := 18; -- ALU arithmetic command
239
  constant ctrl_alu_logic0_c    : natural := 19; -- ALU logic command bit 0
240
  constant ctrl_alu_logic1_c    : natural := 20; -- ALU logic command bit 1
241
  constant ctrl_alu_func0_c     : natural := 21; -- ALU function select command bit 0
242
  constant ctrl_alu_func1_c     : natural := 22; -- ALU function select command bit 1
243
  constant ctrl_alu_addsub_c    : natural := 23; -- 0=ADD, 1=SUB
244
  constant ctrl_alu_opa_mux_c   : natural := 24; -- operand A select (0=rs1, 1=PC)
245
  constant ctrl_alu_opb_mux_c   : natural := 25; -- operand B select (0=rs2, 1=IMM)
246
  constant ctrl_alu_unsigned_c  : natural := 26; -- is unsigned ALU operation
247
  constant ctrl_alu_shift_dir_c : natural := 27; -- shift direction (0=left, 1=right)
248
  constant ctrl_alu_shift_ar_c  : natural := 28; -- is arithmetic shift
249 2 zero_gravi
  -- bus interface --
250 49 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 29; -- transfer size lsb (00=byte, 01=half-word)
251
  constant ctrl_bus_size_msb_c  : natural := 30; -- transfer size msb (10=word, 11=?)
252
  constant ctrl_bus_rd_c        : natural := 31; -- read data request
253
  constant ctrl_bus_wr_c        : natural := 32; -- write data request
254
  constant ctrl_bus_if_c        : natural := 33; -- instruction fetch request
255
  constant ctrl_bus_mo_we_c     : natural := 34; -- memory address and data output register write enable
256
  constant ctrl_bus_mi_we_c     : natural := 35; -- memory data input register write enable
257 52 zero_gravi
  constant ctrl_bus_wd_sel_c    : natural := 36; -- memory write-data source select (0=reg_file, 1=co-proc.)
258
  constant ctrl_bus_unsigned_c  : natural := 37; -- is unsigned load
259
  constant ctrl_bus_ierr_ack_c  : natural := 38; -- acknowledge instruction fetch bus exceptions
260
  constant ctrl_bus_derr_ack_c  : natural := 39; -- acknowledge data access bus exceptions
261
  constant ctrl_bus_fence_c     : natural := 40; -- executed fence operation
262
  constant ctrl_bus_fencei_c    : natural := 41; -- executed fencei operation
263
  constant ctrl_bus_lock_c      : natural := 42; -- locked/exclusive bus access
264 26 zero_gravi
  -- co-processors --
265 52 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 43; -- cp select ID lsb
266
  constant ctrl_cp_id_hsb_c     : natural := 44; -- cp select ID hsb
267
  constant ctrl_cp_id_msb_c     : natural := 45; -- cp select ID msb
268
  constant ctrl_cp_fpu_mem_we_c : natural := 46; -- fpu-cp memory-data write enable
269 36 zero_gravi
  -- current privilege level --
270 52 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 47; -- privilege level lsb
271
  constant ctrl_priv_lvl_msb_c  : natural := 48; -- privilege level msb
272 44 zero_gravi
  -- instruction's control blocks (used by cpu co-processors) --
273 52 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 49; -- funct3 bit 0
274
  constant ctrl_ir_funct3_1_c   : natural := 50; -- funct3 bit 1
275
  constant ctrl_ir_funct3_2_c   : natural := 51; -- funct3 bit 2
276
  constant ctrl_ir_funct12_0_c  : natural := 52; -- funct12 bit 0
277
  constant ctrl_ir_funct12_1_c  : natural := 53; -- funct12 bit 1
278
  constant ctrl_ir_funct12_2_c  : natural := 54; -- funct12 bit 2
279
  constant ctrl_ir_funct12_3_c  : natural := 55; -- funct12 bit 3
280
  constant ctrl_ir_funct12_4_c  : natural := 56; -- funct12 bit 4
281
  constant ctrl_ir_funct12_5_c  : natural := 57; -- funct12 bit 5
282
  constant ctrl_ir_funct12_6_c  : natural := 58; -- funct12 bit 6
283
  constant ctrl_ir_funct12_7_c  : natural := 59; -- funct12 bit 7
284
  constant ctrl_ir_funct12_8_c  : natural := 60; -- funct12 bit 8
285
  constant ctrl_ir_funct12_9_c  : natural := 61; -- funct12 bit 9
286
  constant ctrl_ir_funct12_10_c : natural := 62; -- funct12 bit 10
287
  constant ctrl_ir_funct12_11_c : natural := 63; -- funct12 bit 11
288
  constant ctrl_ir_opcode7_0_c  : natural := 64; -- opcode7 bit 0
289
  constant ctrl_ir_opcode7_1_c  : natural := 65; -- opcode7 bit 1
290
  constant ctrl_ir_opcode7_2_c  : natural := 66; -- opcode7 bit 2
291
  constant ctrl_ir_opcode7_3_c  : natural := 67; -- opcode7 bit 3
292
  constant ctrl_ir_opcode7_4_c  : natural := 68; -- opcode7 bit 4
293
  constant ctrl_ir_opcode7_5_c  : natural := 69; -- opcode7 bit 5
294
  constant ctrl_ir_opcode7_6_c  : natural := 70; -- opcode7 bit 6
295 47 zero_gravi
  -- CPU status --
296 52 zero_gravi
  constant ctrl_sleep_c         : natural := 71; -- set when CPU is in sleep mode
297 2 zero_gravi
  -- control bus size --
298 52 zero_gravi
  constant ctrl_width_c         : natural := 72; -- control bus size
299 2 zero_gravi
 
300 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
301 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
302 47 zero_gravi
  constant cmp_equal_c : natural := 0;
303
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
304 2 zero_gravi
 
305
  -- RISC-V Opcode Layout -------------------------------------------------------------------
306
  -- -------------------------------------------------------------------------------------------
307
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
308
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
309
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
310
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
311
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
312
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
313
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
314
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
315
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
316
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
317
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
318
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
319
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
320
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
321
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
322
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
323
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
324
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
325
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
326
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
327 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
328
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
329 2 zero_gravi
 
330
  -- RISC-V Opcodes -------------------------------------------------------------------------
331
  -- -------------------------------------------------------------------------------------------
332
  -- alu --
333
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
334
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
335
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
336
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
337
  -- control flow --
338
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
339 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
340 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
341
  -- memory access --
342
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
343
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
344
  -- system/csr --
345 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
346 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
347 52 zero_gravi
  -- atomic memory access (A) --
348 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
349 52 zero_gravi
  -- floating point operations (F/D/H/Q) --
350
  constant opcode_flw_c    : std_ulogic_vector(6 downto 0) := "0000111"; -- load word
351
  constant opcode_fsw_c    : std_ulogic_vector(6 downto 0) := "0100111"; -- store word
352
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single opearand operation
353
  constant opcode_fmac_c   : std_ulogic_vector(6 downto 0) := "100--11"; -- fused multiply-add (three operands)
354 2 zero_gravi
 
355
  -- RISC-V Funct3 --------------------------------------------------------------------------
356
  -- -------------------------------------------------------------------------------------------
357
  -- control flow --
358
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
359
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
360
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
361
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
362
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
363
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
364
  -- memory access --
365
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
366
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
367
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
368
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
369
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
370
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
371
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
372
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
373
  -- alu --
374
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
375
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
376
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
377
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
378
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
379
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
380
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
381
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
382
  -- system/csr --
383
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi
384
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
385
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
386
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
387
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
388
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
389
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
390 8 zero_gravi
  -- fence --
391
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
392
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instructon stream sync
393 2 zero_gravi
 
394 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
395 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
396
  -- system --
397
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
398
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
399
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
400
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
401
 
402 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
403
  -- -------------------------------------------------------------------------------------------
404
  -- atomic operations --
405
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
406
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
407
 
408 52 zero_gravi
  -- RISC-V Floating-Point Formats ----------------------------------------------------------
409
  -- -------------------------------------------------------------------------------------------
410
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precisions (32-bit)
411
  constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precisions (64-bit)
412
  constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precisions (16-bit)
413
  constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precisions (64-bit)
414
 
415 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
416
  -- -------------------------------------------------------------------------------------------
417 41 zero_gravi
  -- read/write CSRs --
418 52 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(07 downto 0) := x"00"; -- floating point
419
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
420
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
421
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
422
  --
423
  constant csr_setup_c          : std_ulogic_vector(07 downto 0) := x"30"; -- trap setup
424 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
425
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
426
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
427
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
428
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
429 52 zero_gravi
  --
430 42 zero_gravi
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
431 29 zero_gravi
  --
432 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
433 29 zero_gravi
  --
434 42 zero_gravi
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
435
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
436
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
437
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
438
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
439
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
440
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
441
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
442
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
443
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
444
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
445
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
446
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
447
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
448
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
449
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
450
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
451
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
452
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
453
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
454
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
455
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
456
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
457
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
458
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
459
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
460
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
461
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
462
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
463 29 zero_gravi
  --
464 52 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
465 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
466
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
467
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
468
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
469
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
470 29 zero_gravi
  --
471 52 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(07 downto 0) := x"3a"; -- pmp configuration
472 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
473
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
474
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
475
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
476
  constant csr_pmpcfg4_c        : std_ulogic_vector(11 downto 0) := x"3a4";
477
  constant csr_pmpcfg5_c        : std_ulogic_vector(11 downto 0) := x"3a5";
478
  constant csr_pmpcfg6_c        : std_ulogic_vector(11 downto 0) := x"3a6";
479
  constant csr_pmpcfg7_c        : std_ulogic_vector(11 downto 0) := x"3a7";
480
  constant csr_pmpcfg8_c        : std_ulogic_vector(11 downto 0) := x"3a8";
481
  constant csr_pmpcfg9_c        : std_ulogic_vector(11 downto 0) := x"3a9";
482
  constant csr_pmpcfg10_c       : std_ulogic_vector(11 downto 0) := x"3aa";
483
  constant csr_pmpcfg11_c       : std_ulogic_vector(11 downto 0) := x"3ab";
484
  constant csr_pmpcfg12_c       : std_ulogic_vector(11 downto 0) := x"3ac";
485
  constant csr_pmpcfg13_c       : std_ulogic_vector(11 downto 0) := x"3ad";
486
  constant csr_pmpcfg14_c       : std_ulogic_vector(11 downto 0) := x"3ae";
487
  constant csr_pmpcfg15_c       : std_ulogic_vector(11 downto 0) := x"3af";
488 29 zero_gravi
  --
489 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
490
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
491
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
492
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
493
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
494
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
495
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
496
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
497
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
498
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
499
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
500
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
501
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
502
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
503
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
504
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
505
  constant csr_pmpaddr16_c      : std_ulogic_vector(11 downto 0) := x"3c0";
506
  constant csr_pmpaddr17_c      : std_ulogic_vector(11 downto 0) := x"3c1";
507
  constant csr_pmpaddr18_c      : std_ulogic_vector(11 downto 0) := x"3c2";
508
  constant csr_pmpaddr19_c      : std_ulogic_vector(11 downto 0) := x"3c3";
509
  constant csr_pmpaddr20_c      : std_ulogic_vector(11 downto 0) := x"3c4";
510
  constant csr_pmpaddr21_c      : std_ulogic_vector(11 downto 0) := x"3c5";
511
  constant csr_pmpaddr22_c      : std_ulogic_vector(11 downto 0) := x"3c6";
512
  constant csr_pmpaddr23_c      : std_ulogic_vector(11 downto 0) := x"3c7";
513
  constant csr_pmpaddr24_c      : std_ulogic_vector(11 downto 0) := x"3c8";
514
  constant csr_pmpaddr25_c      : std_ulogic_vector(11 downto 0) := x"3c9";
515
  constant csr_pmpaddr26_c      : std_ulogic_vector(11 downto 0) := x"3ca";
516
  constant csr_pmpaddr27_c      : std_ulogic_vector(11 downto 0) := x"3cb";
517
  constant csr_pmpaddr28_c      : std_ulogic_vector(11 downto 0) := x"3cc";
518
  constant csr_pmpaddr29_c      : std_ulogic_vector(11 downto 0) := x"3cd";
519
  constant csr_pmpaddr30_c      : std_ulogic_vector(11 downto 0) := x"3ce";
520
  constant csr_pmpaddr31_c      : std_ulogic_vector(11 downto 0) := x"3cf";
521
  constant csr_pmpaddr32_c      : std_ulogic_vector(11 downto 0) := x"3d0";
522
  constant csr_pmpaddr33_c      : std_ulogic_vector(11 downto 0) := x"3d1";
523
  constant csr_pmpaddr34_c      : std_ulogic_vector(11 downto 0) := x"3d2";
524
  constant csr_pmpaddr35_c      : std_ulogic_vector(11 downto 0) := x"3d3";
525
  constant csr_pmpaddr36_c      : std_ulogic_vector(11 downto 0) := x"3d4";
526
  constant csr_pmpaddr37_c      : std_ulogic_vector(11 downto 0) := x"3d5";
527
  constant csr_pmpaddr38_c      : std_ulogic_vector(11 downto 0) := x"3d6";
528
  constant csr_pmpaddr39_c      : std_ulogic_vector(11 downto 0) := x"3d7";
529
  constant csr_pmpaddr40_c      : std_ulogic_vector(11 downto 0) := x"3d8";
530
  constant csr_pmpaddr41_c      : std_ulogic_vector(11 downto 0) := x"3d9";
531
  constant csr_pmpaddr42_c      : std_ulogic_vector(11 downto 0) := x"3da";
532
  constant csr_pmpaddr43_c      : std_ulogic_vector(11 downto 0) := x"3db";
533
  constant csr_pmpaddr44_c      : std_ulogic_vector(11 downto 0) := x"3dc";
534
  constant csr_pmpaddr45_c      : std_ulogic_vector(11 downto 0) := x"3dd";
535
  constant csr_pmpaddr46_c      : std_ulogic_vector(11 downto 0) := x"3de";
536
  constant csr_pmpaddr47_c      : std_ulogic_vector(11 downto 0) := x"3df";
537
  constant csr_pmpaddr48_c      : std_ulogic_vector(11 downto 0) := x"3e0";
538
  constant csr_pmpaddr49_c      : std_ulogic_vector(11 downto 0) := x"3e1";
539
  constant csr_pmpaddr50_c      : std_ulogic_vector(11 downto 0) := x"3e2";
540
  constant csr_pmpaddr51_c      : std_ulogic_vector(11 downto 0) := x"3e3";
541
  constant csr_pmpaddr52_c      : std_ulogic_vector(11 downto 0) := x"3e4";
542
  constant csr_pmpaddr53_c      : std_ulogic_vector(11 downto 0) := x"3e5";
543
  constant csr_pmpaddr54_c      : std_ulogic_vector(11 downto 0) := x"3e6";
544
  constant csr_pmpaddr55_c      : std_ulogic_vector(11 downto 0) := x"3e7";
545
  constant csr_pmpaddr56_c      : std_ulogic_vector(11 downto 0) := x"3e8";
546
  constant csr_pmpaddr57_c      : std_ulogic_vector(11 downto 0) := x"3e9";
547
  constant csr_pmpaddr58_c      : std_ulogic_vector(11 downto 0) := x"3ea";
548
  constant csr_pmpaddr59_c      : std_ulogic_vector(11 downto 0) := x"3eb";
549
  constant csr_pmpaddr60_c      : std_ulogic_vector(11 downto 0) := x"3ec";
550
  constant csr_pmpaddr61_c      : std_ulogic_vector(11 downto 0) := x"3ed";
551
  constant csr_pmpaddr62_c      : std_ulogic_vector(11 downto 0) := x"3ee";
552
  constant csr_pmpaddr63_c      : std_ulogic_vector(11 downto 0) := x"3ef";
553 29 zero_gravi
  --
554 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
555
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
556
  --
557
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
558
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
559
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
560
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
561
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
562
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
563
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
564
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
565
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
566
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
567
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
568
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
569
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
570
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
571
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
572
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
573
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
574
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
575
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
576
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
577
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
578
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
579
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
580
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
581
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
582
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
583
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
584
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
585
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
586
  --
587
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
588
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
589
  --
590
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
591
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
592
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
593
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
594
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
595
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
596
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
597
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
598
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
599
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
600
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
601
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
602
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
603
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
604
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
605
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
606
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
607
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
608
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
609
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
610
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
611
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
612
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
613
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
614
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
615
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
616
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
617
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
618
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
619
 
620 41 zero_gravi
  -- read-only CSRs --
621 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
622
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
623
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
624 29 zero_gravi
  --
625 42 zero_gravi
  constant csr_hpmcounter3_c    : std_ulogic_vector(11 downto 0) := x"c03";
626
  constant csr_hpmcounter4_c    : std_ulogic_vector(11 downto 0) := x"c04";
627
  constant csr_hpmcounter5_c    : std_ulogic_vector(11 downto 0) := x"c05";
628
  constant csr_hpmcounter6_c    : std_ulogic_vector(11 downto 0) := x"c06";
629
  constant csr_hpmcounter7_c    : std_ulogic_vector(11 downto 0) := x"c07";
630
  constant csr_hpmcounter8_c    : std_ulogic_vector(11 downto 0) := x"c08";
631
  constant csr_hpmcounter9_c    : std_ulogic_vector(11 downto 0) := x"c09";
632
  constant csr_hpmcounter10_c   : std_ulogic_vector(11 downto 0) := x"c0a";
633
  constant csr_hpmcounter11_c   : std_ulogic_vector(11 downto 0) := x"c0b";
634
  constant csr_hpmcounter12_c   : std_ulogic_vector(11 downto 0) := x"c0c";
635
  constant csr_hpmcounter13_c   : std_ulogic_vector(11 downto 0) := x"c0d";
636
  constant csr_hpmcounter14_c   : std_ulogic_vector(11 downto 0) := x"c0e";
637
  constant csr_hpmcounter15_c   : std_ulogic_vector(11 downto 0) := x"c0f";
638
  constant csr_hpmcounter16_c   : std_ulogic_vector(11 downto 0) := x"c10";
639
  constant csr_hpmcounter17_c   : std_ulogic_vector(11 downto 0) := x"c11";
640
  constant csr_hpmcounter18_c   : std_ulogic_vector(11 downto 0) := x"c12";
641
  constant csr_hpmcounter19_c   : std_ulogic_vector(11 downto 0) := x"c13";
642
  constant csr_hpmcounter20_c   : std_ulogic_vector(11 downto 0) := x"c14";
643
  constant csr_hpmcounter21_c   : std_ulogic_vector(11 downto 0) := x"c15";
644
  constant csr_hpmcounter22_c   : std_ulogic_vector(11 downto 0) := x"c16";
645
  constant csr_hpmcounter23_c   : std_ulogic_vector(11 downto 0) := x"c17";
646
  constant csr_hpmcounter24_c   : std_ulogic_vector(11 downto 0) := x"c18";
647
  constant csr_hpmcounter25_c   : std_ulogic_vector(11 downto 0) := x"c19";
648
  constant csr_hpmcounter26_c   : std_ulogic_vector(11 downto 0) := x"c1a";
649
  constant csr_hpmcounter27_c   : std_ulogic_vector(11 downto 0) := x"c1b";
650
  constant csr_hpmcounter28_c   : std_ulogic_vector(11 downto 0) := x"c1c";
651
  constant csr_hpmcounter29_c   : std_ulogic_vector(11 downto 0) := x"c1d";
652
  constant csr_hpmcounter30_c   : std_ulogic_vector(11 downto 0) := x"c1e";
653
  constant csr_hpmcounter31_c   : std_ulogic_vector(11 downto 0) := x"c1f";
654 29 zero_gravi
  --
655 42 zero_gravi
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
656
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
657
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
658 29 zero_gravi
  --
659 42 zero_gravi
  constant csr_hpmcounter3h_c   : std_ulogic_vector(11 downto 0) := x"c83";
660
  constant csr_hpmcounter4h_c   : std_ulogic_vector(11 downto 0) := x"c84";
661
  constant csr_hpmcounter5h_c   : std_ulogic_vector(11 downto 0) := x"c85";
662
  constant csr_hpmcounter6h_c   : std_ulogic_vector(11 downto 0) := x"c86";
663
  constant csr_hpmcounter7h_c   : std_ulogic_vector(11 downto 0) := x"c87";
664
  constant csr_hpmcounter8h_c   : std_ulogic_vector(11 downto 0) := x"c88";
665
  constant csr_hpmcounter9h_c   : std_ulogic_vector(11 downto 0) := x"c89";
666
  constant csr_hpmcounter10h_c  : std_ulogic_vector(11 downto 0) := x"c8a";
667
  constant csr_hpmcounter11h_c  : std_ulogic_vector(11 downto 0) := x"c8b";
668
  constant csr_hpmcounter12h_c  : std_ulogic_vector(11 downto 0) := x"c8c";
669
  constant csr_hpmcounter13h_c  : std_ulogic_vector(11 downto 0) := x"c8d";
670
  constant csr_hpmcounter14h_c  : std_ulogic_vector(11 downto 0) := x"c8e";
671
  constant csr_hpmcounter15h_c  : std_ulogic_vector(11 downto 0) := x"c8f";
672
  constant csr_hpmcounter16h_c  : std_ulogic_vector(11 downto 0) := x"c90";
673
  constant csr_hpmcounter17h_c  : std_ulogic_vector(11 downto 0) := x"c91";
674
  constant csr_hpmcounter18h_c  : std_ulogic_vector(11 downto 0) := x"c92";
675
  constant csr_hpmcounter19h_c  : std_ulogic_vector(11 downto 0) := x"c93";
676
  constant csr_hpmcounter20h_c  : std_ulogic_vector(11 downto 0) := x"c94";
677
  constant csr_hpmcounter21h_c  : std_ulogic_vector(11 downto 0) := x"c95";
678
  constant csr_hpmcounter22h_c  : std_ulogic_vector(11 downto 0) := x"c96";
679
  constant csr_hpmcounter23h_c  : std_ulogic_vector(11 downto 0) := x"c97";
680
  constant csr_hpmcounter24h_c  : std_ulogic_vector(11 downto 0) := x"c98";
681
  constant csr_hpmcounter25h_c  : std_ulogic_vector(11 downto 0) := x"c99";
682
  constant csr_hpmcounter26h_c  : std_ulogic_vector(11 downto 0) := x"c9a";
683
  constant csr_hpmcounter27h_c  : std_ulogic_vector(11 downto 0) := x"c9b";
684
  constant csr_hpmcounter28h_c  : std_ulogic_vector(11 downto 0) := x"c9c";
685
  constant csr_hpmcounter29h_c  : std_ulogic_vector(11 downto 0) := x"c9d";
686
  constant csr_hpmcounter30h_c  : std_ulogic_vector(11 downto 0) := x"c9e";
687
  constant csr_hpmcounter31h_c  : std_ulogic_vector(11 downto 0) := x"c9f";
688
  --
689
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
690
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
691
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
692
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
693 29 zero_gravi
 
694 42 zero_gravi
  -- custom read-only CSRs --
695
  constant csr_mzext_c          : std_ulogic_vector(11 downto 0) := x"fc0";
696
 
697 44 zero_gravi
  -- Co-Processor IDs -----------------------------------------------------------------------
698 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
699 49 zero_gravi
  constant cp_sel_muldiv_c   : std_ulogic_vector(2 downto 0) := "000"; -- multiplication/division operations ('M' extension)
700
  constant cp_sel_atomic_c   : std_ulogic_vector(2 downto 0) := "001"; -- atomic operations; success/failure evaluation ('A' extension)
701
  constant cp_sel_bitmanip_c : std_ulogic_vector(2 downto 0) := "010"; -- bit manipulation ('B' extension)
702
  constant cp_sel_csr_rd_c   : std_ulogic_vector(2 downto 0) := "011"; -- CSR read access ('Zicsr' extension)
703 52 zero_gravi
  constant cp_sel_fpu_c      : std_ulogic_vector(2 downto 0) := "100"; -- loating-point unit ('F' extension)
704
--constant cp_sel_reserved_c : std_ulogic_vector(2 downto 0) := "101"; -- reserved
705
--constant cp_sel_reserved_c : std_ulogic_vector(2 downto 0) := "110"; -- reserved
706
--constant cp_sel_reserved_c : std_ulogic_vector(2 downto 0) := "111"; -- reserved
707 2 zero_gravi
 
708
  -- ALU Function Codes ---------------------------------------------------------------------
709
  -- -------------------------------------------------------------------------------------------
710 39 zero_gravi
  -- arithmetic core --
711
  constant alu_arith_cmd_addsub_c : std_ulogic := '0'; -- r.arith <= A +/- B
712
  constant alu_arith_cmd_slt_c    : std_ulogic := '1'; -- r.arith <= A < B
713
  -- logic core --
714
  constant alu_logic_cmd_movb_c   : std_ulogic_vector(1 downto 0) := "00"; -- r.logic <= B
715
  constant alu_logic_cmd_xor_c    : std_ulogic_vector(1 downto 0) := "01"; -- r.logic <= A xor B
716
  constant alu_logic_cmd_or_c     : std_ulogic_vector(1 downto 0) := "10"; -- r.logic <= A or B
717
  constant alu_logic_cmd_and_c    : std_ulogic_vector(1 downto 0) := "11"; -- r.logic <= A and B
718
  -- function select (actual alu result) --
719
  constant alu_func_cmd_arith_c   : std_ulogic_vector(1 downto 0) := "00"; -- r <= r.arith
720
  constant alu_func_cmd_logic_c   : std_ulogic_vector(1 downto 0) := "01"; -- r <= r.logic
721
  constant alu_func_cmd_shift_c   : std_ulogic_vector(1 downto 0) := "10"; -- r <= A <</>> B (iterative)
722
  constant alu_func_cmd_copro_c   : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (iterative)
723 2 zero_gravi
 
724 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
725
  -- -------------------------------------------------------------------------------------------
726 48 zero_gravi
  -- RISC-V compliant sync. exceptions --
727
  constant trap_ima_c    : std_ulogic_vector(5 downto 0) := "0" & "00000"; -- 0.0:  instruction misaligned
728
  constant trap_iba_c    : std_ulogic_vector(5 downto 0) := "0" & "00001"; -- 0.1:  instruction access fault
729
  constant trap_iil_c    : std_ulogic_vector(5 downto 0) := "0" & "00010"; -- 0.2:  illegal instruction
730
  constant trap_brk_c    : std_ulogic_vector(5 downto 0) := "0" & "00011"; -- 0.3:  breakpoint
731
  constant trap_lma_c    : std_ulogic_vector(5 downto 0) := "0" & "00100"; -- 0.4:  load address misaligned
732
  constant trap_lbe_c    : std_ulogic_vector(5 downto 0) := "0" & "00101"; -- 0.5:  load access fault
733
  constant trap_sma_c    : std_ulogic_vector(5 downto 0) := "0" & "00110"; -- 0.6:  store address misaligned
734
  constant trap_sbe_c    : std_ulogic_vector(5 downto 0) := "0" & "00111"; -- 0.7:  store access fault
735
  constant trap_uenv_c   : std_ulogic_vector(5 downto 0) := "0" & "01000"; -- 0.8:  environment call from u-mode
736
  constant trap_menv_c   : std_ulogic_vector(5 downto 0) := "0" & "01011"; -- 0.11: environment call from m-mode
737
  -- RISC-V compliant interrupts (async. exceptions) --
738
  constant trap_msi_c    : std_ulogic_vector(5 downto 0) := "1" & "00011"; -- 1.3:  machine software interrupt
739
  constant trap_mti_c    : std_ulogic_vector(5 downto 0) := "1" & "00111"; -- 1.7:  machine timer interrupt
740
  constant trap_mei_c    : std_ulogic_vector(5 downto 0) := "1" & "01011"; -- 1.11: machine external interrupt
741
  -- NEORV32-specific (custom) interrupts (async. exceptions) --
742
  constant trap_reset_c  : std_ulogic_vector(5 downto 0) := "1" & "00000"; -- 1.0:  hardware reset
743
  constant trap_firq0_c  : std_ulogic_vector(5 downto 0) := "1" & "10000"; -- 1.16: fast interrupt 0
744
  constant trap_firq1_c  : std_ulogic_vector(5 downto 0) := "1" & "10001"; -- 1.17: fast interrupt 1
745
  constant trap_firq2_c  : std_ulogic_vector(5 downto 0) := "1" & "10010"; -- 1.18: fast interrupt 2
746
  constant trap_firq3_c  : std_ulogic_vector(5 downto 0) := "1" & "10011"; -- 1.19: fast interrupt 3
747
  constant trap_firq4_c  : std_ulogic_vector(5 downto 0) := "1" & "10100"; -- 1.20: fast interrupt 4
748
  constant trap_firq5_c  : std_ulogic_vector(5 downto 0) := "1" & "10101"; -- 1.21: fast interrupt 5
749
  constant trap_firq6_c  : std_ulogic_vector(5 downto 0) := "1" & "10110"; -- 1.22: fast interrupt 6
750
  constant trap_firq7_c  : std_ulogic_vector(5 downto 0) := "1" & "10111"; -- 1.23: fast interrupt 7
751
  constant trap_firq8_c  : std_ulogic_vector(5 downto 0) := "1" & "11000"; -- 1.24: fast interrupt 8
752
  constant trap_firq9_c  : std_ulogic_vector(5 downto 0) := "1" & "11001"; -- 1.25: fast interrupt 9
753
  constant trap_firq10_c : std_ulogic_vector(5 downto 0) := "1" & "11010"; -- 1.26: fast interrupt 10
754
  constant trap_firq11_c : std_ulogic_vector(5 downto 0) := "1" & "11011"; -- 1.27: fast interrupt 11
755
  constant trap_firq12_c : std_ulogic_vector(5 downto 0) := "1" & "11100"; -- 1.28: fast interrupt 12
756
  constant trap_firq13_c : std_ulogic_vector(5 downto 0) := "1" & "11101"; -- 1.29: fast interrupt 13
757
  constant trap_firq14_c : std_ulogic_vector(5 downto 0) := "1" & "11110"; -- 1.30: fast interrupt 14
758
  constant trap_firq15_c : std_ulogic_vector(5 downto 0) := "1" & "11111"; -- 1.31: fast interrupt 15
759 12 zero_gravi
 
760 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
761
  -- -------------------------------------------------------------------------------------------
762
  -- exception source bits --
763 47 zero_gravi
  constant exception_iaccess_c   : natural :=  0; -- instrution access fault
764
  constant exception_iillegal_c  : natural :=  1; -- illegal instrution
765
  constant exception_ialign_c    : natural :=  2; -- instrution address misaligned
766
  constant exception_m_envcall_c : natural :=  3; -- ENV call from m-mode
767
  constant exception_u_envcall_c : natural :=  4; -- ENV call from u-mode
768
  constant exception_break_c     : natural :=  5; -- breakpoint
769
  constant exception_salign_c    : natural :=  6; -- store address misaligned
770
  constant exception_lalign_c    : natural :=  7; -- load address misaligned
771
  constant exception_saccess_c   : natural :=  8; -- store access fault
772
  constant exception_laccess_c   : natural :=  9; -- load access fault
773 14 zero_gravi
  --
774 40 zero_gravi
  constant exception_width_c     : natural := 10; -- length of this list in bits
775 2 zero_gravi
  -- interrupt source bits --
776 47 zero_gravi
  constant interrupt_msw_irq_c   : natural :=  0; -- machine software interrupt
777
  constant interrupt_mtime_irq_c : natural :=  1; -- machine timer interrupt
778
  constant interrupt_mext_irq_c  : natural :=  2; -- machine external interrupt
779
  constant interrupt_firq_0_c    : natural :=  3; -- fast interrupt channel 0
780
  constant interrupt_firq_1_c    : natural :=  4; -- fast interrupt channel 1
781
  constant interrupt_firq_2_c    : natural :=  5; -- fast interrupt channel 2
782
  constant interrupt_firq_3_c    : natural :=  6; -- fast interrupt channel 3
783
  constant interrupt_firq_4_c    : natural :=  7; -- fast interrupt channel 4
784
  constant interrupt_firq_5_c    : natural :=  8; -- fast interrupt channel 5
785
  constant interrupt_firq_6_c    : natural :=  9; -- fast interrupt channel 6
786
  constant interrupt_firq_7_c    : natural := 10; -- fast interrupt channel 7
787 48 zero_gravi
  constant interrupt_firq_8_c    : natural := 11; -- fast interrupt channel 8
788
  constant interrupt_firq_9_c    : natural := 12; -- fast interrupt channel 9
789
  constant interrupt_firq_10_c   : natural := 13; -- fast interrupt channel 10
790
  constant interrupt_firq_11_c   : natural := 14; -- fast interrupt channel 11
791
  constant interrupt_firq_12_c   : natural := 15; -- fast interrupt channel 12
792
  constant interrupt_firq_13_c   : natural := 16; -- fast interrupt channel 13
793
  constant interrupt_firq_14_c   : natural := 17; -- fast interrupt channel 14
794
  constant interrupt_firq_15_c   : natural := 18; -- fast interrupt channel 15
795 14 zero_gravi
  --
796 48 zero_gravi
  constant interrupt_width_c     : natural := 19; -- length of this list in bits
797 2 zero_gravi
 
798 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
799
  -- -------------------------------------------------------------------------------------------
800 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
801
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
802 15 zero_gravi
 
803 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
804
  -- -------------------------------------------------------------------------------------------
805
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
806
  constant hpmcnt_event_never_c   : natural := 1;
807
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
808
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
809
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
810
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
811 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
812
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
813
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
814
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
815
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
816
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
817
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
818
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
819
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
820 42 zero_gravi
  --
821 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
822 42 zero_gravi
 
823 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
824 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
825
  constant clk_div2_c    : natural := 0;
826
  constant clk_div4_c    : natural := 1;
827
  constant clk_div8_c    : natural := 2;
828
  constant clk_div64_c   : natural := 3;
829
  constant clk_div128_c  : natural := 4;
830
  constant clk_div1024_c : natural := 5;
831
  constant clk_div2048_c : natural := 6;
832
  constant clk_div4096_c : natural := 7;
833
 
834
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
835
  -- -------------------------------------------------------------------------------------------
836
  component neorv32_top
837
    generic (
838
      -- General --
839 12 zero_gravi
      CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
840 44 zero_gravi
      BOOTLOADER_EN                : boolean := true;   -- implement processor-internal bootloader?
841 12 zero_gravi
      USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
842 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
843 2 zero_gravi
      -- RISC-V CPU Extensions --
844 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
845 44 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit manipulation extensions?
846 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
847 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
848 18 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
849
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
850 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
851 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
852 19 zero_gravi
      -- Extension Options --
853 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
854
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
855 15 zero_gravi
      -- Physical Memory Protection (PMP) --
856 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
857
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
858
      -- Hardware Performance Monitors (HPM) --
859 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
860 23 zero_gravi
      -- Internal Instruction memory --
861 44 zero_gravi
      MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
862 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
863 34 zero_gravi
      MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
864 23 zero_gravi
      -- Internal Data memory --
865 44 zero_gravi
      MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
866 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
867 41 zero_gravi
      -- Internal Cache memory --
868 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
869 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
870
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
871 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
872 23 zero_gravi
      -- External memory interface --
873 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
874 2 zero_gravi
      -- Processor peripherals --
875 44 zero_gravi
      IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
876
      IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
877 50 zero_gravi
      IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
878
      IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
879 44 zero_gravi
      IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
880
      IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
881
      IO_PWM_EN                    : boolean := true;   -- implement pulse-width modulation unit (PWM)?
882
      IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
883
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
884 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
885 52 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
886
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
887
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
888
      IO_NCO_EN                    : boolean := true;   -- implement numerically-controlled oscillator (NCO)?
889
      IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
890 2 zero_gravi
    );
891
    port (
892
      -- Global control --
893 34 zero_gravi
      clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
894
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
895 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
896 36 zero_gravi
      wb_tag_o    : out std_ulogic_vector(02 downto 0); -- tag
897 34 zero_gravi
      wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
898
      wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
899
      wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
900
      wb_we_o     : out std_ulogic; -- read/write
901
      wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
902
      wb_stb_o    : out std_ulogic; -- strobe
903
      wb_cyc_o    : out std_ulogic; -- valid cycle
904 39 zero_gravi
      wb_lock_o   : out std_ulogic; -- locked/exclusive bus access
905 34 zero_gravi
      wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
906
      wb_err_i    : in  std_ulogic := '0'; -- transfer error
907 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
908 34 zero_gravi
      fence_o     : out std_ulogic; -- indicates an executed FENCE operation
909
      fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
910 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
911 34 zero_gravi
      gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
912
      gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
913 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
914
      uart0_txd_o : out std_ulogic; -- UART0 send data
915
      uart0_rxd_i : in  std_ulogic := '0'; -- UART0 receive data
916 51 zero_gravi
      uart0_rts_o : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
917
      uart0_cts_i : in  std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
918 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
919
      uart1_txd_o : out std_ulogic; -- UART1 send data
920
      uart1_rxd_i : in  std_ulogic := '0'; -- UART1 receive data
921 51 zero_gravi
      uart1_rts_o : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
922
      uart1_cts_i : in  std_ulogic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
923 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
924 34 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
925
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
926
      spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
927
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
928 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
929 35 zero_gravi
      twi_sda_io  : inout std_logic; -- twi serial data line
930
      twi_scl_io  : inout std_logic; -- twi serial clock line
931 49 zero_gravi
      -- PWM (available if IO_PWM_EN = true) --
932 40 zero_gravi
      pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
933 47 zero_gravi
      -- Custom Functions Subsystem IO --
934 52 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom CFS inputs conduit
935
      cfs_out_o   : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
936 49 zero_gravi
      -- NCO output (available if IO_NCO_EN = true) --
937
      nco_o       : out std_ulogic_vector(02 downto 0); -- numerically-controlled oscillator channels
938 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
939
      neoled_o    : out std_ulogic; -- async serial data line
940 44 zero_gravi
      -- system time input from external MTIME (available if IO_MTIME_EN = false) --
941 40 zero_gravi
      mtime_i     : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
942 2 zero_gravi
      -- Interrupts --
943 50 zero_gravi
      soc_firq_i  : in  std_ulogic_vector(5 downto 0) := (others => '0'); -- fast interrupt channels
944 44 zero_gravi
      mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
945 34 zero_gravi
      msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
946
      mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
947 2 zero_gravi
    );
948
  end component;
949
 
950 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
951
  -- -------------------------------------------------------------------------------------------
952
  component neorv32_cpu
953
    generic (
954
      -- General --
955 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
956
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0) := x"00000000"; -- cpu boot address
957 41 zero_gravi
      BUS_TIMEOUT                  : natural := 63;    -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
958 4 zero_gravi
      -- RISC-V CPU Extensions --
959 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
960 44 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false; -- implement bit manipulation extensions?
961 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
962
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
963 52 zero_gravi
      CPU_EXTENSION_RISCV_F        : boolean := false; -- implement 32-bit floating-point extension?
964 12 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
965 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
966 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
967 49 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
968 19 zero_gravi
      -- Extension Options --
969
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
970 34 zero_gravi
      FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
971 15 zero_gravi
      -- Physical Memory Protection (PMP) --
972 52 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;     -- number of regions (0..64)
973 42 zero_gravi
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
974
      -- Hardware Performance Monitors (HPM) --
975 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0      -- number of implemented HPM counters (0..29)
976 4 zero_gravi
    );
977
    port (
978
      -- global control --
979 14 zero_gravi
      clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
980
      rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
981 47 zero_gravi
      sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
982 12 zero_gravi
      -- instruction bus interface --
983
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
984 14 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
985 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
986
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
987
      i_bus_we_o     : out std_ulogic; -- write enable
988
      i_bus_re_o     : out std_ulogic; -- read enable
989
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
990 14 zero_gravi
      i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
991
      i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
992 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
993 35 zero_gravi
      i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
994 39 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
995 12 zero_gravi
      -- data bus interface --
996
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
997 14 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
998 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
999
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1000
      d_bus_we_o     : out std_ulogic; -- write enable
1001
      d_bus_re_o     : out std_ulogic; -- read enable
1002
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
1003 14 zero_gravi
      d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
1004
      d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
1005 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
1006 35 zero_gravi
      d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1007 39 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- locked/exclusive access
1008 11 zero_gravi
      -- system time input from MTIME --
1009 14 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
1010
      -- interrupts (risc-v compliant) --
1011
      msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
1012
      mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
1013
      mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
1014
      -- fast interrupts (custom) --
1015 48 zero_gravi
      firq_i         : in  std_ulogic_vector(15 downto 0) := (others => '0');
1016
      firq_ack_o     : out std_ulogic_vector(15 downto 0)
1017 4 zero_gravi
    );
1018
  end component;
1019
 
1020 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1021
  -- -------------------------------------------------------------------------------------------
1022
  component neorv32_cpu_control
1023
    generic (
1024
      -- General --
1025 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
1026 12 zero_gravi
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= x"00000000"; -- cpu boot address
1027 2 zero_gravi
      -- RISC-V CPU Extensions --
1028 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
1029 44 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false; -- implement bit manipulation extensions?
1030 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
1031
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
1032 52 zero_gravi
      CPU_EXTENSION_RISCV_F        : boolean := false; -- implement 32-bit floating-point extension?
1033 12 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
1034 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
1035 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
1036 49 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
1037 15 zero_gravi
      -- Physical memory protection (PMP) --
1038 52 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;     -- number of regions (0..64)
1039 42 zero_gravi
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1040
      -- Hardware Performance Monitors (HPM) --
1041 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0      -- number of implemented HPM counters (0..29)
1042 2 zero_gravi
    );
1043
    port (
1044
      -- global control --
1045
      clk_i         : in  std_ulogic; -- global clock, rising edge
1046
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1047
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1048
      -- status input --
1049
      alu_wait_i    : in  std_ulogic; -- wait for ALU
1050 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1051
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1052 2 zero_gravi
      -- data input --
1053
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1054
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1055 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1056 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1057 2 zero_gravi
      -- data output --
1058
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1059 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1060
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1061 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1062 52 zero_gravi
      -- FPU interface --
1063
      fpu_rm_o      : out std_ulogic_vector(02 downto 0); -- rounding mode
1064
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1065 14 zero_gravi
      -- interrupts (risc-v compliant) --
1066
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1067
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1068 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1069 14 zero_gravi
      -- fast interrupts (custom) --
1070 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1071
      firq_ack_o    : out std_ulogic_vector(15 downto 0);
1072 11 zero_gravi
      -- system time input from MTIME --
1073
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1074 15 zero_gravi
      -- physical memory protection --
1075
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1076
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1077 2 zero_gravi
      -- bus access exceptions --
1078
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1079
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1080
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1081
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1082
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1083
      be_load_i     : in  std_ulogic; -- bus error on load data access
1084 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1085 2 zero_gravi
    );
1086
  end component;
1087
 
1088
  -- Component: CPU Register File -----------------------------------------------------------
1089
  -- -------------------------------------------------------------------------------------------
1090
  component neorv32_cpu_regfile
1091
    generic (
1092
      CPU_EXTENSION_RISCV_E : boolean := false -- implement embedded RF extension?
1093
    );
1094
    port (
1095
      -- global control --
1096
      clk_i  : in  std_ulogic; -- global clock, rising edge
1097
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1098
      -- data input --
1099
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1100
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1101
      -- data output --
1102
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1103 47 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 2
1104
      cmp_o  : out std_ulogic_vector(1 downto 0) -- comparator status
1105 2 zero_gravi
    );
1106
  end component;
1107
 
1108
  -- Component: CPU ALU ---------------------------------------------------------------------
1109
  -- -------------------------------------------------------------------------------------------
1110
  component neorv32_cpu_alu
1111 11 zero_gravi
    generic (
1112 34 zero_gravi
      CPU_EXTENSION_RISCV_M : boolean := true; -- implement muld/div extension?
1113
      FAST_SHIFT_EN         : boolean := false -- use barrel shifter for shift operations
1114 11 zero_gravi
    );
1115 2 zero_gravi
    port (
1116
      -- global control --
1117
      clk_i       : in  std_ulogic; -- global clock, rising edge
1118
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1119
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1120
      -- data input --
1121
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1122
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1123
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
1124
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1125
      -- data output --
1126
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1127 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1128 2 zero_gravi
      -- co-processor interface --
1129 49 zero_gravi
      cp_start_o  : out std_ulogic_vector(7 downto 0); -- trigger co-processor i
1130
      cp_valid_i  : in  std_ulogic_vector(7 downto 0); -- co-processor i done
1131
      cp_result_i : in  cp_data_if_t; -- co-processor result
1132 2 zero_gravi
      -- status --
1133
      wait_o      : out std_ulogic -- busy due to iterative processing units
1134
    );
1135
  end component;
1136
 
1137 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1138 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1139
  component neorv32_cpu_cp_muldiv
1140 19 zero_gravi
    generic (
1141
      FAST_MUL_EN : boolean := false -- use DSPs for faster multiplication
1142
    );
1143 2 zero_gravi
    port (
1144
      -- global control --
1145
      clk_i   : in  std_ulogic; -- global clock, rising edge
1146
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1147
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1148 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1149 2 zero_gravi
      -- data input --
1150
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1151
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1152
      -- result and status --
1153
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1154
      valid_o : out std_ulogic -- data output valid
1155
    );
1156
  end component;
1157
 
1158 44 zero_gravi
  -- Component: CPU Co-Processor Bit Manipulation ('B' extension) ---------------------------
1159
  -- -------------------------------------------------------------------------------------------
1160
  component neorv32_cpu_cp_bitmanip
1161
    port (
1162
      -- global control --
1163
      clk_i   : in  std_ulogic; -- global clock, rising edge
1164
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1165
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1166
      start_i : in  std_ulogic; -- trigger operation
1167
      -- data input --
1168
      cmp_i   : in  std_ulogic_vector(1 downto 0); -- comparator status
1169
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1170
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1171
      -- result and status --
1172
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1173
      valid_o : out std_ulogic -- data output valid
1174
    );
1175
  end component;
1176
 
1177 52 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('F' extension) ---------------------------------
1178
  -- -------------------------------------------------------------------------------------------
1179
  component neorv32_cpu_cp_fpu
1180
    port (
1181
      -- global control --
1182
      clk_i     : in  std_ulogic; -- global clock, rising edge
1183
      rstn_i    : in  std_ulogic; -- global reset, low-active, async
1184
      ctrl_i    : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1185
      start_i   : in  std_ulogic; -- trigger operation
1186
      -- data input --
1187
      frm_i     : in  std_ulogic_vector(2 downto 0); -- rounding mode
1188
      reg_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source
1189
      mem_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read-data
1190
      -- result and status --
1191
      fflags_o  : out std_ulogic_vector(4 downto 0); -- exception flags
1192
      mem_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- memory write-data
1193
      res_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1194
      valid_o   : out std_ulogic -- data output valid
1195
    );
1196
  end component;
1197
 
1198 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1199
  -- -------------------------------------------------------------------------------------------
1200
  component neorv32_cpu_bus
1201
    generic (
1202 40 zero_gravi
      CPU_EXTENSION_RISCV_C : boolean := true;  -- implement compressed extension?
1203 15 zero_gravi
      -- Physical memory protection (PMP) --
1204 42 zero_gravi
      PMP_NUM_REGIONS       : natural := 0;       -- number of regions (0..64)
1205
      PMP_MIN_GRANULARITY   : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1206 41 zero_gravi
      -- Bus Timeout --
1207
      BUS_TIMEOUT           : natural := 63     -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1208 2 zero_gravi
    );
1209
    port (
1210
      -- global control --
1211 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1212 38 zero_gravi
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
1213 12 zero_gravi
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1214
      -- cpu instruction fetch interface --
1215
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1216
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1217
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
1218
      --
1219
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
1220
      be_instr_o     : out std_ulogic; -- bus error on instruction access
1221
      -- cpu data access interface --
1222
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1223
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1224
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1225
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1226
      d_wait_o       : out std_ulogic; -- wait for access to complete
1227
      --
1228
      ma_load_o      : out std_ulogic; -- misaligned load data address
1229
      ma_store_o     : out std_ulogic; -- misaligned store data address
1230
      be_load_o      : out std_ulogic; -- bus error on load data access
1231
      be_store_o     : out std_ulogic; -- bus error on store data access
1232 15 zero_gravi
      -- physical memory protection --
1233
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
1234
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
1235 12 zero_gravi
      -- instruction bus --
1236
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1237
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1238
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1239
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1240
      i_bus_we_o     : out std_ulogic; -- write enable
1241
      i_bus_re_o     : out std_ulogic; -- read enable
1242
      i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
1243
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1244
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
1245
      i_bus_fence_o  : out std_ulogic; -- fence operation
1246 39 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- locked/exclusive access
1247 12 zero_gravi
      -- data bus --
1248
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1249
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1250
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1251
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1252
      d_bus_we_o     : out std_ulogic; -- write enable
1253
      d_bus_re_o     : out std_ulogic; -- read enable
1254
      d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
1255
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1256
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
1257 39 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- fence operation
1258
      d_bus_lock_o   : out std_ulogic  -- locked/exclusive access
1259 2 zero_gravi
    );
1260
  end component;
1261
 
1262 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1263 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1264 45 zero_gravi
  component neorv32_icache
1265 41 zero_gravi
    generic (
1266 47 zero_gravi
      ICACHE_NUM_BLOCKS : natural := 4;  -- number of blocks (min 1), has to be a power of 2
1267
      ICACHE_BLOCK_SIZE : natural := 16; -- block size in bytes (min 4), has to be a power of 2
1268
      ICACHE_NUM_SETS   : natural := 1   -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1269 41 zero_gravi
    );
1270
    port (
1271
      -- global control --
1272
      clk_i         : in  std_ulogic; -- global clock, rising edge
1273
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1274
      clear_i       : in  std_ulogic; -- cache clear
1275
      -- host controller interface --
1276
      host_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1277
      host_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1278
      host_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1279
      host_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1280
      host_we_i     : in  std_ulogic; -- write enable
1281
      host_re_i     : in  std_ulogic; -- read enable
1282
      host_cancel_i : in  std_ulogic; -- cancel current bus transaction
1283
      host_lock_i   : in  std_ulogic; -- locked/exclusive access
1284
      host_ack_o    : out std_ulogic; -- bus transfer acknowledge
1285
      host_err_o    : out std_ulogic; -- bus transfer error
1286
      -- peripheral bus interface --
1287
      bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1288
      bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1289
      bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1290
      bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1291
      bus_we_o      : out std_ulogic; -- write enable
1292
      bus_re_o      : out std_ulogic; -- read enable
1293
      bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
1294
      bus_lock_o    : out std_ulogic; -- locked/exclusive access
1295
      bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1296
      bus_err_i     : in  std_ulogic  -- bus transfer error
1297
    );
1298
  end component;
1299
 
1300 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1301
  -- -------------------------------------------------------------------------------------------
1302
  component neorv32_busswitch
1303
    generic (
1304
      PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
1305
      PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
1306
    );
1307
    port (
1308
      -- global control --
1309
      clk_i           : in  std_ulogic; -- global clock, rising edge
1310
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
1311
      -- controller interface a --
1312
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1313
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1314
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1315
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1316
      ca_bus_we_i     : in  std_ulogic; -- write enable
1317
      ca_bus_re_i     : in  std_ulogic; -- read enable
1318
      ca_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
1319 39 zero_gravi
      ca_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
1320 12 zero_gravi
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1321
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
1322
      -- controller interface b --
1323
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1324
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1325
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1326
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1327
      cb_bus_we_i     : in  std_ulogic; -- write enable
1328
      cb_bus_re_i     : in  std_ulogic; -- read enable
1329
      cb_bus_cancel_i : in  std_ulogic; -- cancel current bus transaction
1330 39 zero_gravi
      cb_bus_lock_i   : in  std_ulogic; -- locked/exclusive access
1331 12 zero_gravi
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1332
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
1333
      -- peripheral bus --
1334 36 zero_gravi
      p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
1335 12 zero_gravi
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1336
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1337
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1338
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1339
      p_bus_we_o      : out std_ulogic; -- write enable
1340
      p_bus_re_o      : out std_ulogic; -- read enable
1341
      p_bus_cancel_o  : out std_ulogic; -- cancel current bus transaction
1342 39 zero_gravi
      p_bus_lock_o    : out std_ulogic; -- locked/exclusive access
1343 12 zero_gravi
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1344
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
1345
    );
1346
  end component;
1347
 
1348 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
1349
  -- -------------------------------------------------------------------------------------------
1350
  component neorv32_cpu_decompressor
1351
    port (
1352
      -- instruction input --
1353
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1354
      -- instruction output --
1355
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1356
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1357
    );
1358
  end component;
1359
 
1360
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1361
  -- -------------------------------------------------------------------------------------------
1362
  component neorv32_imem
1363
    generic (
1364
      IMEM_BASE      : std_ulogic_vector(31 downto 0) := x"00000000"; -- memory base address
1365
      IMEM_SIZE      : natural := 4*1024; -- processor-internal instruction memory size in bytes
1366
      IMEM_AS_ROM    : boolean := false;  -- implement IMEM as read-only memory?
1367 44 zero_gravi
      BOOTLOADER_EN  : boolean := true    -- implement and use bootloader?
1368 2 zero_gravi
    );
1369
    port (
1370
      clk_i  : in  std_ulogic; -- global clock line
1371
      rden_i : in  std_ulogic; -- read enable
1372
      wren_i : in  std_ulogic; -- write enable
1373
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1374
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1375
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1376
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1377
      ack_o  : out std_ulogic -- transfer acknowledge
1378
    );
1379
  end component;
1380
 
1381
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1382
  -- -------------------------------------------------------------------------------------------
1383
  component neorv32_dmem
1384
    generic (
1385
      DMEM_BASE : std_ulogic_vector(31 downto 0) := x"80000000"; -- memory base address
1386
      DMEM_SIZE : natural := 4*1024  -- processor-internal instruction memory size in bytes
1387
    );
1388
    port (
1389
      clk_i  : in  std_ulogic; -- global clock line
1390
      rden_i : in  std_ulogic; -- read enable
1391
      wren_i : in  std_ulogic; -- write enable
1392
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1393
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1394
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1395
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1396
      ack_o  : out std_ulogic -- transfer acknowledge
1397
    );
1398
  end component;
1399
 
1400
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1401
  -- -------------------------------------------------------------------------------------------
1402
  component neorv32_boot_rom
1403 23 zero_gravi
    generic (
1404
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) := x"FFFF0000"; -- boot ROM base address
1405
      BOOTROM_SIZE : natural := 4*1024  -- processor-internal boot ROM memory size in bytes
1406
    );
1407 2 zero_gravi
    port (
1408
      clk_i  : in  std_ulogic; -- global clock line
1409
      rden_i : in  std_ulogic; -- read enable
1410
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1411
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1412
      ack_o  : out std_ulogic -- transfer acknowledge
1413
    );
1414
  end component;
1415
 
1416
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1417
  -- -------------------------------------------------------------------------------------------
1418
  component neorv32_mtime
1419
    port (
1420
      -- host access --
1421
      clk_i     : in  std_ulogic; -- global clock line
1422 4 zero_gravi
      rstn_i    : in  std_ulogic := '0'; -- global reset, low-active, async
1423 2 zero_gravi
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1424
      rden_i    : in  std_ulogic; -- read enable
1425
      wren_i    : in  std_ulogic; -- write enable
1426
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1427
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1428
      ack_o     : out std_ulogic; -- transfer acknowledge
1429 11 zero_gravi
      -- time output for CPU --
1430
      time_o    : out std_ulogic_vector(63 downto 0); -- current system time
1431 2 zero_gravi
      -- interrupt --
1432
      irq_o     : out std_ulogic  -- interrupt request
1433
    );
1434
  end component;
1435
 
1436
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1437
  -- -------------------------------------------------------------------------------------------
1438
  component neorv32_gpio
1439
    port (
1440
      -- host access --
1441
      clk_i  : in  std_ulogic; -- global clock line
1442
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1443
      rden_i : in  std_ulogic; -- read enable
1444
      wren_i : in  std_ulogic; -- write enable
1445
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1446
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1447
      ack_o  : out std_ulogic; -- transfer acknowledge
1448
      -- parallel io --
1449 22 zero_gravi
      gpio_o : out std_ulogic_vector(31 downto 0);
1450
      gpio_i : in  std_ulogic_vector(31 downto 0);
1451 2 zero_gravi
      -- interrupt --
1452
      irq_o  : out std_ulogic
1453
    );
1454
  end component;
1455
 
1456
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1457
  -- -------------------------------------------------------------------------------------------
1458
  component neorv32_wdt
1459
    port (
1460
      -- host access --
1461
      clk_i       : in  std_ulogic; -- global clock line
1462
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1463
      rden_i      : in  std_ulogic; -- read enable
1464
      wren_i      : in  std_ulogic; -- write enable
1465
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1466
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1467
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1468
      ack_o       : out std_ulogic; -- transfer acknowledge
1469
      -- clock generator --
1470
      clkgen_en_o : out std_ulogic; -- enable clock generator
1471
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1472
      -- timeout event --
1473
      irq_o       : out std_ulogic; -- timeout IRQ
1474
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1475
    );
1476
  end component;
1477
 
1478
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1479
  -- -------------------------------------------------------------------------------------------
1480
  component neorv32_uart
1481 50 zero_gravi
    generic (
1482
      UART_PRIMARY : boolean := true -- true = primary UART (UART0), false = secondary UART (UART1)
1483
    );
1484 2 zero_gravi
    port (
1485
      -- host access --
1486
      clk_i       : in  std_ulogic; -- global clock line
1487
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1488
      rden_i      : in  std_ulogic; -- read enable
1489
      wren_i      : in  std_ulogic; -- write enable
1490
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1491
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1492
      ack_o       : out std_ulogic; -- transfer acknowledge
1493
      -- clock generator --
1494
      clkgen_en_o : out std_ulogic; -- enable clock generator
1495
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1496
      -- com lines --
1497
      uart_txd_o  : out std_ulogic;
1498
      uart_rxd_i  : in  std_ulogic;
1499 51 zero_gravi
      -- hardware flow control --
1500
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1501
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1502 2 zero_gravi
      -- interrupts --
1503 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1504
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1505 2 zero_gravi
    );
1506
  end component;
1507
 
1508
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1509
  -- -------------------------------------------------------------------------------------------
1510
  component neorv32_spi
1511
    port (
1512
      -- host access --
1513
      clk_i       : in  std_ulogic; -- global clock line
1514
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1515
      rden_i      : in  std_ulogic; -- read enable
1516
      wren_i      : in  std_ulogic; -- write enable
1517
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1518
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1519
      ack_o       : out std_ulogic; -- transfer acknowledge
1520
      -- clock generator --
1521
      clkgen_en_o : out std_ulogic; -- enable clock generator
1522
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1523
      -- com lines --
1524 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1525
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1526
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1527 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1528
      -- interrupt --
1529 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1530 2 zero_gravi
    );
1531
  end component;
1532
 
1533
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1534
  -- -------------------------------------------------------------------------------------------
1535
  component neorv32_twi
1536
    port (
1537
      -- host access --
1538
      clk_i       : in  std_ulogic; -- global clock line
1539
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1540
      rden_i      : in  std_ulogic; -- read enable
1541
      wren_i      : in  std_ulogic; -- write enable
1542
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1543
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1544
      ack_o       : out std_ulogic; -- transfer acknowledge
1545
      -- clock generator --
1546
      clkgen_en_o : out std_ulogic; -- enable clock generator
1547
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1548
      -- com lines --
1549
      twi_sda_io  : inout std_logic; -- serial data line
1550
      twi_scl_io  : inout std_logic; -- serial clock line
1551
      -- interrupt --
1552 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1553 2 zero_gravi
    );
1554
  end component;
1555
 
1556
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1557
  -- -------------------------------------------------------------------------------------------
1558
  component neorv32_pwm
1559
    port (
1560
      -- host access --
1561
      clk_i       : in  std_ulogic; -- global clock line
1562
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1563
      rden_i      : in  std_ulogic; -- read enable
1564
      wren_i      : in  std_ulogic; -- write enable
1565
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1566
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1567
      ack_o       : out std_ulogic; -- transfer acknowledge
1568
      -- clock generator --
1569
      clkgen_en_o : out std_ulogic; -- enable clock generator
1570
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1571
      -- pwm output channels --
1572
      pwm_o       : out std_ulogic_vector(03 downto 0)
1573
    );
1574
  end component;
1575
 
1576
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1577
  -- -------------------------------------------------------------------------------------------
1578
  component neorv32_trng
1579
    port (
1580
      -- host access --
1581
      clk_i  : in  std_ulogic; -- global clock line
1582
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1583
      rden_i : in  std_ulogic; -- read enable
1584
      wren_i : in  std_ulogic; -- write enable
1585
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1586
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1587
      ack_o  : out std_ulogic  -- transfer acknowledge
1588
    );
1589
  end component;
1590
 
1591
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1592
  -- -------------------------------------------------------------------------------------------
1593
  component neorv32_wishbone
1594
    generic (
1595 35 zero_gravi
      WB_PIPELINED_MODE : boolean := false; -- false: classic/standard wishbone mode, true: pipelined wishbone mode
1596 23 zero_gravi
      -- Internal instruction memory --
1597 44 zero_gravi
      MEM_INT_IMEM_EN   : boolean := true;   -- implement processor-internal instruction memory
1598 35 zero_gravi
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1599 23 zero_gravi
      -- Internal data memory --
1600 44 zero_gravi
      MEM_INT_DMEM_EN   : boolean := true;   -- implement processor-internal data memory
1601 35 zero_gravi
      MEM_INT_DMEM_SIZE : natural := 4*1024  -- size of processor-internal data memory in bytes
1602 2 zero_gravi
    );
1603
    port (
1604
      -- global control --
1605 39 zero_gravi
      clk_i     : in  std_ulogic; -- global clock line
1606
      rstn_i    : in  std_ulogic; -- global reset line, low-active
1607 2 zero_gravi
      -- host access --
1608 39 zero_gravi
      src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
1609
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1610
      rden_i    : in  std_ulogic; -- read enable
1611
      wren_i    : in  std_ulogic; -- write enable
1612
      ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
1613
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1614
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1615
      cancel_i  : in  std_ulogic; -- cancel current bus transaction
1616
      lock_i    : in  std_ulogic; -- locked/exclusive bus access
1617
      ack_o     : out std_ulogic; -- transfer acknowledge
1618
      err_o     : out std_ulogic; -- transfer error
1619
      priv_i    : in  std_ulogic_vector(1 downto 0); -- current CPU privilege level
1620 2 zero_gravi
      -- wishbone interface --
1621 39 zero_gravi
      wb_tag_o  : out std_ulogic_vector(2 downto 0); -- tag
1622
      wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
1623
      wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
1624
      wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
1625
      wb_we_o   : out std_ulogic; -- read/write
1626
      wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
1627
      wb_stb_o  : out std_ulogic; -- strobe
1628
      wb_cyc_o  : out std_ulogic; -- valid cycle
1629
      wb_lock_o : out std_ulogic; -- locked/exclusive bus access
1630
      wb_ack_i  : in  std_ulogic; -- transfer acknowledge
1631
      wb_err_i  : in  std_ulogic  -- transfer error
1632 2 zero_gravi
    );
1633
  end component;
1634
 
1635 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1636 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1637 47 zero_gravi
  component neorv32_cfs
1638
    generic (
1639 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1640
      CFS_IN_SIZE  : positive := 32;  -- size of CFS input conduit in bits
1641
      CFS_OUT_SIZE : positive := 32   -- size of CFS output conduit in bits
1642 23 zero_gravi
    );
1643 34 zero_gravi
    port (
1644
      -- host access --
1645
      clk_i       : in  std_ulogic; -- global clock line
1646
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1647
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1648
      rden_i      : in  std_ulogic; -- read enable
1649 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1650 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1651
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1652
      ack_o       : out std_ulogic; -- transfer acknowledge
1653
      -- clock generator --
1654
      clkgen_en_o : out std_ulogic; -- enable clock generator
1655 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1656
      -- CPU state --
1657
      sleep_i     : in  std_ulogic; -- set if cpu is in sleep mode
1658
      -- interrupt --
1659
      irq_o       : out std_ulogic; -- interrupt request
1660
      irq_ack_i   : in  std_ulogic; -- interrupt acknowledge
1661
      -- custom io (conduit) --
1662 52 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0);  -- custom inputs
1663
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0)  -- custom outputs
1664 34 zero_gravi
    );
1665
  end component;
1666
 
1667 49 zero_gravi
  -- Component: Numerically-Controlled Oscillator (NCO) -------------------------------------
1668
  -- -------------------------------------------------------------------------------------------
1669
  component neorv32_nco
1670
    port (
1671
      -- host access --
1672
      clk_i       : in  std_ulogic; -- global clock line
1673
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1674
      rden_i      : in  std_ulogic; -- read enable
1675
      wren_i      : in  std_ulogic; -- write enable
1676
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1677
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1678
      ack_o       : out std_ulogic; -- transfer acknowledge
1679
      -- clock generator --
1680
      clkgen_en_o : out std_ulogic; -- enable clock generator
1681
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1682
      -- NCO output --
1683
      nco_o       : out std_ulogic_vector(02 downto 0)
1684
    );
1685
  end component;
1686
 
1687 52 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1688
  -- -------------------------------------------------------------------------------------------
1689
  component neorv32_neoled
1690
    port (
1691
      -- host access --
1692
      clk_i       : in  std_ulogic; -- global clock line
1693
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1694
      rden_i      : in  std_ulogic; -- read enable
1695
      wren_i      : in  std_ulogic; -- write enable
1696
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1697
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1698
      ack_o       : out std_ulogic; -- transfer acknowledge
1699
      -- clock generator --
1700
      clkgen_en_o : out std_ulogic; -- enable clock generator
1701
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1702
      -- interrupt --
1703
      irq_o       : out std_ulogic; -- interrupt request
1704
      -- NEOLED output --
1705
      neoled_o    : out std_ulogic -- serial async data line
1706
    );
1707
  end component;
1708
 
1709 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1710
  -- -------------------------------------------------------------------------------------------
1711 12 zero_gravi
  component neorv32_sysinfo
1712
    generic (
1713
      -- General --
1714 41 zero_gravi
      CLOCK_FREQUENCY      : natural := 0;      -- clock frequency of clk_i in Hz
1715 44 zero_gravi
      BOOTLOADER_EN        : boolean := true;   -- implement processor-internal bootloader?
1716 41 zero_gravi
      USER_CODE            : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
1717 23 zero_gravi
      -- Internal Instruction memory --
1718 44 zero_gravi
      MEM_INT_IMEM_EN      : boolean := true;   -- implement processor-internal instruction memory
1719 41 zero_gravi
      MEM_INT_IMEM_SIZE    : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1720
      MEM_INT_IMEM_ROM     : boolean := false;  -- implement processor-internal instruction memory as ROM
1721 23 zero_gravi
      -- Internal Data memory --
1722 44 zero_gravi
      MEM_INT_DMEM_EN      : boolean := true;   -- implement processor-internal data memory
1723 41 zero_gravi
      MEM_INT_DMEM_SIZE    : natural := 4*1024; -- size of processor-internal data memory in bytes
1724
      -- Internal Cache memory --
1725 44 zero_gravi
      ICACHE_EN            : boolean := true;   -- implement instruction cache
1726 41 zero_gravi
      ICACHE_NUM_BLOCKS    : natural := 4;      -- i-cache: number of blocks (min 2), has to be a power of 2
1727
      ICACHE_BLOCK_SIZE    : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
1728
      ICACHE_ASSOCIATIVITY : natural := 1;      -- i-cache: associativity (min 1), has to be a power 2
1729 23 zero_gravi
      -- External memory interface --
1730 44 zero_gravi
      MEM_EXT_EN           : boolean := false;  -- implement external memory bus interface?
1731 12 zero_gravi
      -- Processor peripherals --
1732 44 zero_gravi
      IO_GPIO_EN           : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
1733
      IO_MTIME_EN          : boolean := true;   -- implement machine system timer (MTIME)?
1734 50 zero_gravi
      IO_UART0_EN          : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
1735
      IO_UART1_EN          : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1736 44 zero_gravi
      IO_SPI_EN            : boolean := true;   -- implement serial peripheral interface (SPI)?
1737
      IO_TWI_EN            : boolean := true;   -- implement two-wire interface (TWI)?
1738
      IO_PWM_EN            : boolean := true;   -- implement pulse-width modulation unit (PWM)?
1739
      IO_WDT_EN            : boolean := true;   -- implement watch dog timer (WDT)?
1740
      IO_TRNG_EN           : boolean := true;   -- implement true random number generator (TRNG)?
1741 49 zero_gravi
      IO_CFS_EN            : boolean := true;   -- implement custom functions subsystem (CFS)?
1742 52 zero_gravi
      IO_NCO_EN            : boolean := true;   -- implement numerically-controlled oscillator (NCO)?
1743
      IO_NEOLED_EN         : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1744 12 zero_gravi
    );
1745
    port (
1746
      -- host access --
1747
      clk_i  : in  std_ulogic; -- global clock line
1748
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1749
      rden_i : in  std_ulogic; -- read enable
1750
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1751
      ack_o  : out std_ulogic  -- transfer acknowledge
1752
    );
1753
  end component;
1754
 
1755 2 zero_gravi
end neorv32_package;
1756
 
1757
package body neorv32_package is
1758
 
1759 41 zero_gravi
  -- Function: Minimal required number of bits to represent input number --------------------
1760 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1761
  function index_size_f(input : natural) return natural is
1762
  begin
1763
    for i in 0 to natural'high loop
1764
      if (2**i >= input) then
1765
        return i;
1766
      end if;
1767
    end loop; -- i
1768
    return 0;
1769
  end function index_size_f;
1770
 
1771
  -- Function: Conditional select natural ---------------------------------------------------
1772
  -- -------------------------------------------------------------------------------------------
1773
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
1774
  begin
1775
    if (cond = true) then
1776
      return val_t;
1777
    else
1778
      return val_f;
1779
    end if;
1780
  end function cond_sel_natural_f;
1781
 
1782
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
1783
  -- -------------------------------------------------------------------------------------------
1784
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
1785
  begin
1786
    if (cond = true) then
1787
      return val_t;
1788
    else
1789
      return val_f;
1790
    end if;
1791
  end function cond_sel_stdulogicvector_f;
1792
 
1793 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
1794 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1795 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
1796
  begin
1797
    if (cond = true) then
1798
      return val_t;
1799
    else
1800
      return val_f;
1801
    end if;
1802
  end function cond_sel_string_f;
1803
 
1804
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
1805
  -- -------------------------------------------------------------------------------------------
1806 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
1807
  begin
1808
    if (cond = true) then
1809
      return '1';
1810
    else
1811
      return '0';
1812
    end if;
1813
  end function bool_to_ulogic_f;
1814
 
1815
  -- Function: OR all bits ------------------------------------------------------------------
1816
  -- -------------------------------------------------------------------------------------------
1817
  function or_all_f(a : std_ulogic_vector) return std_ulogic is
1818
    variable tmp_v : std_ulogic;
1819
  begin
1820
    tmp_v := a(a'low);
1821 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1822
      for i in a'low+1 to a'high loop
1823
        tmp_v := tmp_v or a(i);
1824
      end loop; -- i
1825
    end if;
1826 2 zero_gravi
    return tmp_v;
1827
  end function or_all_f;
1828
 
1829
  -- Function: AND all bits -----------------------------------------------------------------
1830
  -- -------------------------------------------------------------------------------------------
1831
  function and_all_f(a : std_ulogic_vector) return std_ulogic is
1832
    variable tmp_v : std_ulogic;
1833
  begin
1834
    tmp_v := a(a'low);
1835 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1836
      for i in a'low+1 to a'high loop
1837
        tmp_v := tmp_v and a(i);
1838
      end loop; -- i
1839
    end if;
1840 2 zero_gravi
    return tmp_v;
1841
  end function and_all_f;
1842
 
1843
  -- Function: XOR all bits -----------------------------------------------------------------
1844
  -- -------------------------------------------------------------------------------------------
1845
  function xor_all_f(a : std_ulogic_vector) return std_ulogic is
1846
    variable tmp_v : std_ulogic;
1847
  begin
1848
    tmp_v := a(a'low);
1849 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1850
      for i in a'low+1 to a'high loop
1851
        tmp_v := tmp_v xor a(i);
1852
      end loop; -- i
1853
    end if;
1854 2 zero_gravi
    return tmp_v;
1855
  end function xor_all_f;
1856
 
1857
  -- Function: XNOR all bits ----------------------------------------------------------------
1858
  -- -------------------------------------------------------------------------------------------
1859
  function xnor_all_f(a : std_ulogic_vector) return std_ulogic is
1860
    variable tmp_v : std_ulogic;
1861
  begin
1862
    tmp_v := a(a'low);
1863 15 zero_gravi
    if (a'low < a'high) then -- not null range?
1864
      for i in a'low+1 to a'high loop
1865
        tmp_v := tmp_v xnor a(i);
1866
      end loop; -- i
1867
    end if;
1868 2 zero_gravi
    return tmp_v;
1869
  end function xnor_all_f;
1870
 
1871 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
1872 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
1873
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
1874
    variable output_v : character;
1875
  begin
1876
    case input is
1877 7 zero_gravi
      when x"0"   => output_v := '0';
1878
      when x"1"   => output_v := '1';
1879
      when x"2"   => output_v := '2';
1880
      when x"3"   => output_v := '3';
1881
      when x"4"   => output_v := '4';
1882
      when x"5"   => output_v := '5';
1883
      when x"6"   => output_v := '6';
1884
      when x"7"   => output_v := '7';
1885
      when x"8"   => output_v := '8';
1886
      when x"9"   => output_v := '9';
1887
      when x"a"   => output_v := 'a';
1888
      when x"b"   => output_v := 'b';
1889
      when x"c"   => output_v := 'c';
1890
      when x"d"   => output_v := 'd';
1891
      when x"e"   => output_v := 'e';
1892
      when x"f"   => output_v := 'f';
1893 6 zero_gravi
      when others => output_v := '?';
1894
    end case;
1895
    return output_v;
1896
  end function to_hexchar_f;
1897
 
1898 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
1899
  -- -------------------------------------------------------------------------------------------
1900
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
1901
    variable hex_value_v : std_ulogic_vector(3 downto 0);
1902
  begin
1903
    case input is
1904
      when '0'       => hex_value_v := x"0";
1905
      when '1'       => hex_value_v := x"1";
1906
      when '2'       => hex_value_v := x"2";
1907
      when '3'       => hex_value_v := x"3";
1908
      when '4'       => hex_value_v := x"4";
1909
      when '5'       => hex_value_v := x"5";
1910
      when '6'       => hex_value_v := x"6";
1911
      when '7'       => hex_value_v := x"7";
1912
      when '8'       => hex_value_v := x"8";
1913
      when '9'       => hex_value_v := x"9";
1914
      when 'a' | 'A' => hex_value_v := x"a";
1915
      when 'b' | 'B' => hex_value_v := x"b";
1916
      when 'c' | 'C' => hex_value_v := x"c";
1917
      when 'd' | 'D' => hex_value_v := x"d";
1918
      when 'e' | 'E' => hex_value_v := x"e";
1919
      when 'f' | 'F' => hex_value_v := x"f";
1920
      when others    => hex_value_v := (others => 'X');
1921
    end case;
1922
    return hex_value_v;
1923
  end function hexchar_to_stdulogicvector_f;
1924
 
1925 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
1926
  -- -------------------------------------------------------------------------------------------
1927
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
1928
    variable output_v : std_ulogic_vector(input'range);
1929
  begin
1930
    for i in 0 to input'length-1 loop
1931
      output_v(input'length-i-1) := input(i);
1932
    end loop; -- i
1933
    return output_v;
1934
  end function bit_rev_f;
1935
 
1936 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
1937
  -- -------------------------------------------------------------------------------------------
1938
  function is_power_of_two_f(input : natural) return boolean is
1939
  begin
1940 38 zero_gravi
    if (input = 1) then -- 2^0
1941 36 zero_gravi
      return true;
1942 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
1943
      return true;
1944 36 zero_gravi
    else
1945
      return false;
1946
    end if;
1947
  end function is_power_of_two_f;
1948
 
1949 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
1950
  -- -------------------------------------------------------------------------------------------
1951
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
1952
    variable output_v : std_ulogic_vector(input'range);
1953
  begin
1954
    output_v(07 downto 00) := input(31 downto 24);
1955
    output_v(15 downto 08) := input(23 downto 16);
1956
    output_v(23 downto 16) := input(15 downto 08);
1957
    output_v(31 downto 24) := input(07 downto 00);
1958
    return output_v;
1959
  end function bswap32_f;
1960
 
1961 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.