OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 61

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 60 zero_gravi
  -- external bus interface --
48 61 zero_gravi
  constant wb_pipe_mode_c  : boolean := false; -- protocol: false=classic/standard wishbone mode (default), true=pipelined wishbone mode
49
  constant wb_big_endian_c : boolean := false; -- byte order: true=big-endian, false=little-endian (default)
50
  constant wb_rx_buffer_c  : boolean := true;  -- use register buffer for RX data when true (default)
51 40 zero_gravi
 
52
  -- CPU core --
53 57 zero_gravi
  constant ipb_entries_c     : natural := 4; -- entries in CPU instruction prefetch buffer, has to be a power of 2, default=2
54 56 zero_gravi
  constant cp_timeout_en_c   : boolean := false; -- auto-terminate pending co-processor operations after 256 cycles (for debugging only), default = false
55
  constant dedicated_reset_c : boolean := false; -- use dedicated hardware reset value for UNCRITICAL registers (FALSE=reset value is irrelevant (might simplify HW), default; TRUE=defined LOW reset value)
56 40 zero_gravi
 
57 54 zero_gravi
  -- "critical" number of implemented PMP regions --
58
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically inserted into the memory interfaces
59
  -- increasing instruction fetch & data access latency by +1 cycle but also reducing critical path length
60
  constant pmp_num_regions_critical_c : natural := 8; -- default=8
61 47 zero_gravi
 
62 57 zero_gravi
  -- "response time window" for processor-internal memories and IO devices
63
  constant max_proc_int_response_time_c : natural := 15; -- cycles after which an *unacknowledged* internal bus access will timeout and trigger a bus fault exception (min 2)
64
 
65 59 zero_gravi
  -- jtag tap - identifier --
66
  constant jtag_tap_idcode_version_c : std_ulogic_vector(03 downto 0) := x"0"; -- version
67
  constant jtag_tap_idcode_partid_c  : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number
68
  constant jtag_tap_idcode_manid_c   : std_ulogic_vector(10 downto 0) := "00000000000"; -- manufacturer id
69
 
70 61 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
71
  -- -------------------------------------------------------------------------------------------
72
  constant data_width_c   : natural := 32; -- native data path width - do not change!
73
  constant hw_version_c   : std_ulogic_vector(31 downto 0) := x"01050710"; -- no touchy!
74
  constant archid_c       : natural := 19; -- official NEORV32 architecture ID - hands off!
75
  constant rf_r0_is_reg_c : boolean := true; -- x0 is a *physical register* that has to be initialized to zero by the CPU
76
 
77
  -- External Interface Types ---------------------------------------------------------------
78
  -- -------------------------------------------------------------------------------------------
79
  type sdata_8x32_t  is array (0 to 7)  of std_ulogic_vector(31 downto 0);
80
  type sdata_8x32r_t is array (0 to 7)  of std_logic_vector(31 downto 0); -- resolved type
81
 
82
  -- Internal Interface Types ---------------------------------------------------------------
83
  -- -------------------------------------------------------------------------------------------
84
  type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0);
85
  type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0);
86
  type cp_data_if_t  is array (0 to 3)  of std_ulogic_vector(data_width_c-1 downto 0);
87
 
88
  -- Internal Memory Types Configuration Types ----------------------------------------------
89
  -- -------------------------------------------------------------------------------------------
90
  type mem32_t is array (natural range <>) of std_ulogic_vector(31 downto 0); -- memory with 32-bit entries
91
  type mem8_t  is array (natural range <>) of std_ulogic_vector(07 downto 0); -- memory with 8-bit entries
92
 
93 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
94 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
95
  function index_size_f(input : natural) return natural;
96
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
97 56 zero_gravi
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer;
98 2 zero_gravi
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
99 56 zero_gravi
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic;
100 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
101 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
102 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic;
103
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic;
104
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic;
105 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
106 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
107 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
108 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
109 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
110 61 zero_gravi
  function char_tolower_f(ch : character) return character;
111
  function str_equal_f(str0 : string; str1 : string) return boolean;
112
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t;
113 2 zero_gravi
 
114 61 zero_gravi
  -- Internal (auto-generated) Configurations -----------------------------------------------
115 56 zero_gravi
  -- -------------------------------------------------------------------------------------------
116 61 zero_gravi
  constant def_rst_val_c : std_ulogic := cond_sel_stdulogic_f(dedicated_reset_c, '0', '-');
117 56 zero_gravi
 
118 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
119
  -- -------------------------------------------------------------------------------------------
120 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
121 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
122
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
123 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
124 2 zero_gravi
 
125 23 zero_gravi
  -- Internal Bootloader ROM --
126 61 zero_gravi
  -- Actual bootloader size is determined during runtime via the length of the bootloader initialization image
127 56 zero_gravi
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffff0000"; -- bootloader base address, fixed!
128 61 zero_gravi
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space size in bytes, fixed!
129 23 zero_gravi
 
130 59 zero_gravi
  -- On-Chip Debugger: Debug Module --
131
  constant dm_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; -- base address, fixed!
132 61 zero_gravi
  constant dm_size_c            : natural := 4*32*4; -- debug ROM address space size in bytes, fixed
133 59 zero_gravi
  constant dm_code_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800";
134
  constant dm_pbuf_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff880";
135
  constant dm_data_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff900";
136
  constant dm_sreg_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff980";
137
 
138 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
139
  -- Control register(s) (including the device-enable) should be located at the base address of each device
140 60 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
141 61 zero_gravi
  constant io_size_c            : natural := 512; -- IO address space size in bytes, fixed!
142 2 zero_gravi
 
143 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
144 60 zero_gravi
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; -- base address
145 61 zero_gravi
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
146 60 zero_gravi
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
147
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe04";
148
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe08";
149
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe0c";
150
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe10";
151
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe14";
152
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe18";
153
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe1c";
154
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe20";
155
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe24";
156
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe28";
157
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe2c";
158
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe30";
159
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe34";
160
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe38";
161
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe3c";
162
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe40";
163
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe44";
164
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe48";
165
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe4c";
166
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe50";
167
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe54";
168
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe58";
169
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe5c";
170
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe60";
171
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe64";
172
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe68";
173
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe6c";
174
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe70";
175
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe74";
176
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe78";
177
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe7c";
178 47 zero_gravi
 
179 60 zero_gravi
  -- Pulse-Width Modulation Controller (PWM) --
180
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; -- base address
181 61 zero_gravi
  constant pwm_size_c           : natural := 16*4; -- module's address space size in bytes
182 60 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80";
183
  constant pwm_duty0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe84";
184
  constant pwm_duty1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe88";
185
  constant pwm_duty2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe8c";
186
  constant pwm_duty3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe90";
187
  constant pwm_duty4_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe94";
188
  constant pwm_duty5_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe98";
189
  constant pwm_duty6_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe9c";
190
  constant pwm_duty7_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea0";
191
  constant pwm_duty8_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea4";
192
  constant pwm_duty9_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea8";
193
  constant pwm_duty10_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeac";
194
  constant pwm_duty11_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb0";
195
  constant pwm_duty12_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb4";
196
  constant pwm_duty13_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb8";
197
  constant pwm_duty14_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffebc";
198
 
199 61 zero_gravi
  -- Stream link interface (SLINK) --
200
  constant slink_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffec0"; -- base address
201
  constant slink_size_c         : natural := 16*4; -- module's address space size in bytes
202 60 zero_gravi
 
203
  -- reserved --
204
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff00"; -- base address
205 61 zero_gravi
--constant reserved_size_c      : natural := 32*4; -- module's address space size in bytes
206 60 zero_gravi
 
207 61 zero_gravi
  -- External Interrupt Controller (XIRQ) --
208
  constant xirq_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; -- base address
209
  constant xirq_size_c          : natural := 4*4; -- module's address space size in bytes
210
  constant xirq_enable_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80";
211
  constant xirq_pending_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff84";
212
  constant xirq_source_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff88";
213
--constant xirq_res_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff8c";
214 2 zero_gravi
 
215
  -- Machine System Timer (MTIME) --
216 56 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; -- base address
217 61 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- module's address space size in bytes
218 56 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90";
219
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff94";
220
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff98";
221
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff9c";
222 2 zero_gravi
 
223 58 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) --
224 56 zero_gravi
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; -- base address
225 61 zero_gravi
  constant uart0_size_c         : natural := 2*4; -- module's address space size in bytes
226 56 zero_gravi
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0";
227
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa4";
228 2 zero_gravi
 
229
  -- Serial Peripheral Interface (SPI) --
230 56 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; -- base address
231 61 zero_gravi
  constant spi_size_c           : natural := 2*4; -- module's address space size in bytes
232 56 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8";
233
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffac";
234 2 zero_gravi
 
235
  -- Two Wire Interface (TWI) --
236 56 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; -- base address
237 61 zero_gravi
  constant twi_size_c           : natural := 2*4; -- module's address space size in bytes
238 56 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0";
239
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb4";
240 2 zero_gravi
 
241 61 zero_gravi
  -- True Random Number Generator (TRNG) --
242
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; -- base address
243
  constant trng_size_c          : natural := 1*4; -- module's address space size in bytes
244
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8";
245
 
246
  -- Watch Dog Timer (WDT) --
247
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; -- base address
248
  constant wdt_size_c           : natural := 1*4; -- module's address space size in bytes
249
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc";
250
 
251 60 zero_gravi
  -- reserved --
252 61 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; -- base address
253
  constant gpio_size_c          : natural := 4*4; -- module's address space size in bytes
254
  constant gpio_in_lo_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0";
255
  constant gpio_in_hi_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc4";
256
  constant gpio_out_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc8";
257
  constant gpio_out_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffcc";
258 2 zero_gravi
 
259 58 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --
260 56 zero_gravi
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; -- base address
261 61 zero_gravi
  constant uart1_size_c         : natural := 2*4; -- module's address space size in bytes
262 56 zero_gravi
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0";
263
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd4";
264 50 zero_gravi
 
265 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
266 56 zero_gravi
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; -- base address
267 61 zero_gravi
  constant neoled_size_c        : natural := 2*4; -- module's address space size in bytes
268 56 zero_gravi
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8";
269
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffdc";
270 12 zero_gravi
 
271 23 zero_gravi
  -- System Information Memory (SYSINFO) --
272 56 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffe0"; -- base address
273 61 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- module's address space size in bytes
274 12 zero_gravi
 
275 59 zero_gravi
  -- Main CPU Control Bus -------------------------------------------------------------------
276 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
277
  -- register file --
278 49 zero_gravi
  constant ctrl_rf_in_mux_c     : natural :=  0; -- input source select lsb (0=MEM, 1=ALU)
279
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
280
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
281
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
282
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
283
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
284
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
285
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
286
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
287
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
288
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
289 58 zero_gravi
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destination register address bit 0
290
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destination register address bit 1
291
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destination register address bit 2
292
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destination register address bit 3
293
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destination register address bit 4
294 49 zero_gravi
  constant ctrl_rf_wb_en_c      : natural := 16; -- write back enable
295
  constant ctrl_rf_r0_we_c      : natural := 17; -- force write access and force rd=r0
296 2 zero_gravi
  -- alu --
297 49 zero_gravi
  constant ctrl_alu_arith_c     : natural := 18; -- ALU arithmetic command
298
  constant ctrl_alu_logic0_c    : natural := 19; -- ALU logic command bit 0
299
  constant ctrl_alu_logic1_c    : natural := 20; -- ALU logic command bit 1
300
  constant ctrl_alu_func0_c     : natural := 21; -- ALU function select command bit 0
301
  constant ctrl_alu_func1_c     : natural := 22; -- ALU function select command bit 1
302
  constant ctrl_alu_addsub_c    : natural := 23; -- 0=ADD, 1=SUB
303
  constant ctrl_alu_opa_mux_c   : natural := 24; -- operand A select (0=rs1, 1=PC)
304
  constant ctrl_alu_opb_mux_c   : natural := 25; -- operand B select (0=rs2, 1=IMM)
305
  constant ctrl_alu_unsigned_c  : natural := 26; -- is unsigned ALU operation
306
  constant ctrl_alu_shift_dir_c : natural := 27; -- shift direction (0=left, 1=right)
307
  constant ctrl_alu_shift_ar_c  : natural := 28; -- is arithmetic shift
308 61 zero_gravi
  constant ctrl_alu_frm0_c      : natural := 29; -- FPU rounding mode bit 0
309
  constant ctrl_alu_frm1_c      : natural := 30; -- FPU rounding mode bit 1
310
  constant ctrl_alu_frm2_c      : natural := 31; -- FPU rounding mode bit 2
311 2 zero_gravi
  -- bus interface --
312 61 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 32; -- transfer size lsb (00=byte, 01=half-word)
313
  constant ctrl_bus_size_msb_c  : natural := 33; -- transfer size msb (10=word, 11=?)
314
  constant ctrl_bus_rd_c        : natural := 34; -- read data request
315
  constant ctrl_bus_wr_c        : natural := 35; -- write data request
316
  constant ctrl_bus_if_c        : natural := 36; -- instruction fetch request
317
  constant ctrl_bus_mo_we_c     : natural := 37; -- memory address and data output register write enable
318
  constant ctrl_bus_mi_we_c     : natural := 38; -- memory data input register write enable
319
  constant ctrl_bus_unsigned_c  : natural := 39; -- is unsigned load
320
  constant ctrl_bus_ierr_ack_c  : natural := 40; -- acknowledge instruction fetch bus exceptions
321
  constant ctrl_bus_derr_ack_c  : natural := 41; -- acknowledge data access bus exceptions
322
  constant ctrl_bus_fence_c     : natural := 42; -- executed fence operation
323
  constant ctrl_bus_fencei_c    : natural := 43; -- executed fencei operation
324
  constant ctrl_bus_lock_c      : natural := 44; -- make atomic/exclusive access lock
325
  constant ctrl_bus_de_lock_c   : natural := 45; -- remove atomic/exclusive access 
326
  constant ctrl_bus_ch_lock_c   : natural := 46; -- evaluate atomic/exclusive lock (SC operation)
327 26 zero_gravi
  -- co-processors --
328 61 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 47; -- cp select ID lsb
329
  constant ctrl_cp_id_msb_c     : natural := 48; -- cp select ID msb
330 44 zero_gravi
  -- instruction's control blocks (used by cpu co-processors) --
331 61 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 49; -- funct3 bit 0
332
  constant ctrl_ir_funct3_1_c   : natural := 50; -- funct3 bit 1
333
  constant ctrl_ir_funct3_2_c   : natural := 51; -- funct3 bit 2
334
  constant ctrl_ir_funct12_0_c  : natural := 52; -- funct12 bit 0
335
  constant ctrl_ir_funct12_1_c  : natural := 53; -- funct12 bit 1
336
  constant ctrl_ir_funct12_2_c  : natural := 54; -- funct12 bit 2
337
  constant ctrl_ir_funct12_3_c  : natural := 55; -- funct12 bit 3
338
  constant ctrl_ir_funct12_4_c  : natural := 56; -- funct12 bit 4
339
  constant ctrl_ir_funct12_5_c  : natural := 57; -- funct12 bit 5
340
  constant ctrl_ir_funct12_6_c  : natural := 58; -- funct12 bit 6
341
  constant ctrl_ir_funct12_7_c  : natural := 59; -- funct12 bit 7
342
  constant ctrl_ir_funct12_8_c  : natural := 60; -- funct12 bit 8
343
  constant ctrl_ir_funct12_9_c  : natural := 61; -- funct12 bit 9
344
  constant ctrl_ir_funct12_10_c : natural := 62; -- funct12 bit 10
345
  constant ctrl_ir_funct12_11_c : natural := 63; -- funct12 bit 11
346
  constant ctrl_ir_opcode7_0_c  : natural := 64; -- opcode7 bit 0
347
  constant ctrl_ir_opcode7_1_c  : natural := 65; -- opcode7 bit 1
348
  constant ctrl_ir_opcode7_2_c  : natural := 66; -- opcode7 bit 2
349
  constant ctrl_ir_opcode7_3_c  : natural := 67; -- opcode7 bit 3
350
  constant ctrl_ir_opcode7_4_c  : natural := 68; -- opcode7 bit 4
351
  constant ctrl_ir_opcode7_5_c  : natural := 69; -- opcode7 bit 5
352
  constant ctrl_ir_opcode7_6_c  : natural := 70; -- opcode7 bit 6
353 47 zero_gravi
  -- CPU status --
354 61 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 71; -- privilege level lsb
355
  constant ctrl_priv_lvl_msb_c  : natural := 72; -- privilege level msb
356
  constant ctrl_sleep_c         : natural := 73; -- set when CPU is in sleep mode
357
  constant ctrl_trap_c          : natural := 74; -- set when CPU is entering trap execution
358
  constant ctrl_debug_running_c : natural := 75; -- CPU is in debug mode when set
359 2 zero_gravi
  -- control bus size --
360 61 zero_gravi
  constant ctrl_width_c         : natural := 76; -- control bus size
361 2 zero_gravi
 
362 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
363 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
364 47 zero_gravi
  constant cmp_equal_c : natural := 0;
365
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
366 2 zero_gravi
 
367
  -- RISC-V Opcode Layout -------------------------------------------------------------------
368
  -- -------------------------------------------------------------------------------------------
369
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
370
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
371
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
372
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
373
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
374
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
375
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
376
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
377
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
378
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
379
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
380
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
381
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
382
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
383
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
384
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
385
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
386
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
387
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
388
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
389 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
390
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
391 2 zero_gravi
 
392
  -- RISC-V Opcodes -------------------------------------------------------------------------
393
  -- -------------------------------------------------------------------------------------------
394
  -- alu --
395
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
396
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
397
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
398
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
399
  -- control flow --
400
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
401 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
402 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
403
  -- memory access --
404
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
405
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
406
  -- system/csr --
407 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
408 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
409 52 zero_gravi
  -- atomic memory access (A) --
410 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
411 53 zero_gravi
  -- floating point operations (Zfinx-only) (F/D/H/Q) --
412
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single opearand instruction
413 2 zero_gravi
 
414
  -- RISC-V Funct3 --------------------------------------------------------------------------
415
  -- -------------------------------------------------------------------------------------------
416
  -- control flow --
417
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
418
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
419
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
420
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
421
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
422
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
423
  -- memory access --
424
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
425
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
426
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
427
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
428
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
429
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
430
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
431
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
432
  -- alu --
433
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
434
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
435
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
436
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
437
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
438
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
439
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
440
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
441
  -- system/csr --
442 59 zero_gravi
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi, ...
443 2 zero_gravi
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
444
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
445
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
446
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
447
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
448
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
449 8 zero_gravi
  -- fence --
450
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
451
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instructon stream sync
452 2 zero_gravi
 
453 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
454 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
455
  -- system --
456
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
457
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
458
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
459
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
460 59 zero_gravi
  constant funct12_dret_c   : std_ulogic_vector(11 downto 0) := x"7b2"; -- DRET
461 11 zero_gravi
 
462 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
463
  -- -------------------------------------------------------------------------------------------
464
  -- atomic operations --
465
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
466
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
467
 
468 54 zero_gravi
  -- RISC-V Floating-Point Stuff ------------------------------------------------------------
469 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
470 54 zero_gravi
  -- formats --
471
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precision (32-bit)
472
  constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precision (64-bit)
473
  constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precision (16-bit)
474
  constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precision (128-bit)
475 52 zero_gravi
 
476 54 zero_gravi
  -- number class flags --
477
  constant fp_class_neg_inf_c    : natural := 0; -- negative infinity
478
  constant fp_class_neg_norm_c   : natural := 1; -- negative normal number
479
  constant fp_class_neg_denorm_c : natural := 2; -- negative subnormal number
480
  constant fp_class_neg_zero_c   : natural := 3; -- negative zero
481
  constant fp_class_pos_zero_c   : natural := 4; -- positive zero
482
  constant fp_class_pos_denorm_c : natural := 5; -- positive subnormal number
483
  constant fp_class_pos_norm_c   : natural := 6; -- positive normal number
484
  constant fp_class_pos_inf_c    : natural := 7; -- positive infinity
485
  constant fp_class_snan_c       : natural := 8; -- signaling NaN (sNaN)
486
  constant fp_class_qnan_c       : natural := 9; -- quiet NaN (qNaN)
487
 
488
  -- exception flags --
489
  constant fp_exc_nv_c : natural := 0; -- invalid operation
490
  constant fp_exc_dz_c : natural := 1; -- divide by zero
491
  constant fp_exc_of_c : natural := 2; -- overflow
492
  constant fp_exc_uf_c : natural := 3; -- underflow
493
  constant fp_exc_nx_c : natural := 4; -- inexact
494
 
495
  -- special values (single-precision) --
496
  constant fp_single_qnan_c     : std_ulogic_vector(31 downto 0) := x"7fc00000"; -- quiet NaN
497
  constant fp_single_snan_c     : std_ulogic_vector(31 downto 0) := x"7fa00000"; -- signaling NaN
498
  constant fp_single_pos_inf_c  : std_ulogic_vector(31 downto 0) := x"7f800000"; -- positive infinity
499
  constant fp_single_neg_inf_c  : std_ulogic_vector(31 downto 0) := x"ff800000"; -- negative infinity
500
  constant fp_single_pos_zero_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- positive zero
501
  constant fp_single_neg_zero_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- negative zero
502
 
503 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
504
  -- -------------------------------------------------------------------------------------------
505 56 zero_gravi
  -- <<< standard read/write CSRs >>> --
506
  -- user floating-point CSRs --
507 52 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(07 downto 0) := x"00"; -- floating point
508
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
509
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
510
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
511 56 zero_gravi
  -- machine trap setup --
512
  constant csr_class_setup_c    : std_ulogic_vector(07 downto 0) := x"30"; -- trap setup
513 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
514
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
515
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
516
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
517
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
518 56 zero_gravi
  -- machine counter setup --
519
  constant csr_cnt_setup_c      : std_ulogic_vector(06 downto 0) := x"3" & "001"; -- counter setup
520 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
521
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
522
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
523
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
524
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
525
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
526
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
527
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
528
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
529
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
530
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
531
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
532
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
533
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
534
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
535
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
536
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
537
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
538
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
539
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
540
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
541
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
542
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
543
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
544
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
545
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
546
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
547
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
548
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
549
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
550 56 zero_gravi
  -- machine trap handling --
551 52 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
552 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
553
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
554
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
555
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
556
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
557 56 zero_gravi
  -- physical memory protection - configuration --
558 52 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(07 downto 0) := x"3a"; -- pmp configuration
559 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
560
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
561
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
562
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
563
  constant csr_pmpcfg4_c        : std_ulogic_vector(11 downto 0) := x"3a4";
564
  constant csr_pmpcfg5_c        : std_ulogic_vector(11 downto 0) := x"3a5";
565
  constant csr_pmpcfg6_c        : std_ulogic_vector(11 downto 0) := x"3a6";
566
  constant csr_pmpcfg7_c        : std_ulogic_vector(11 downto 0) := x"3a7";
567
  constant csr_pmpcfg8_c        : std_ulogic_vector(11 downto 0) := x"3a8";
568
  constant csr_pmpcfg9_c        : std_ulogic_vector(11 downto 0) := x"3a9";
569
  constant csr_pmpcfg10_c       : std_ulogic_vector(11 downto 0) := x"3aa";
570
  constant csr_pmpcfg11_c       : std_ulogic_vector(11 downto 0) := x"3ab";
571
  constant csr_pmpcfg12_c       : std_ulogic_vector(11 downto 0) := x"3ac";
572
  constant csr_pmpcfg13_c       : std_ulogic_vector(11 downto 0) := x"3ad";
573
  constant csr_pmpcfg14_c       : std_ulogic_vector(11 downto 0) := x"3ae";
574
  constant csr_pmpcfg15_c       : std_ulogic_vector(11 downto 0) := x"3af";
575 56 zero_gravi
  -- physical memory protection - address --
576 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
577
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
578
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
579
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
580
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
581
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
582
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
583
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
584
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
585
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
586
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
587
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
588
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
589
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
590
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
591
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
592
  constant csr_pmpaddr16_c      : std_ulogic_vector(11 downto 0) := x"3c0";
593
  constant csr_pmpaddr17_c      : std_ulogic_vector(11 downto 0) := x"3c1";
594
  constant csr_pmpaddr18_c      : std_ulogic_vector(11 downto 0) := x"3c2";
595
  constant csr_pmpaddr19_c      : std_ulogic_vector(11 downto 0) := x"3c3";
596
  constant csr_pmpaddr20_c      : std_ulogic_vector(11 downto 0) := x"3c4";
597
  constant csr_pmpaddr21_c      : std_ulogic_vector(11 downto 0) := x"3c5";
598
  constant csr_pmpaddr22_c      : std_ulogic_vector(11 downto 0) := x"3c6";
599
  constant csr_pmpaddr23_c      : std_ulogic_vector(11 downto 0) := x"3c7";
600
  constant csr_pmpaddr24_c      : std_ulogic_vector(11 downto 0) := x"3c8";
601
  constant csr_pmpaddr25_c      : std_ulogic_vector(11 downto 0) := x"3c9";
602
  constant csr_pmpaddr26_c      : std_ulogic_vector(11 downto 0) := x"3ca";
603
  constant csr_pmpaddr27_c      : std_ulogic_vector(11 downto 0) := x"3cb";
604
  constant csr_pmpaddr28_c      : std_ulogic_vector(11 downto 0) := x"3cc";
605
  constant csr_pmpaddr29_c      : std_ulogic_vector(11 downto 0) := x"3cd";
606
  constant csr_pmpaddr30_c      : std_ulogic_vector(11 downto 0) := x"3ce";
607
  constant csr_pmpaddr31_c      : std_ulogic_vector(11 downto 0) := x"3cf";
608
  constant csr_pmpaddr32_c      : std_ulogic_vector(11 downto 0) := x"3d0";
609
  constant csr_pmpaddr33_c      : std_ulogic_vector(11 downto 0) := x"3d1";
610
  constant csr_pmpaddr34_c      : std_ulogic_vector(11 downto 0) := x"3d2";
611
  constant csr_pmpaddr35_c      : std_ulogic_vector(11 downto 0) := x"3d3";
612
  constant csr_pmpaddr36_c      : std_ulogic_vector(11 downto 0) := x"3d4";
613
  constant csr_pmpaddr37_c      : std_ulogic_vector(11 downto 0) := x"3d5";
614
  constant csr_pmpaddr38_c      : std_ulogic_vector(11 downto 0) := x"3d6";
615
  constant csr_pmpaddr39_c      : std_ulogic_vector(11 downto 0) := x"3d7";
616
  constant csr_pmpaddr40_c      : std_ulogic_vector(11 downto 0) := x"3d8";
617
  constant csr_pmpaddr41_c      : std_ulogic_vector(11 downto 0) := x"3d9";
618
  constant csr_pmpaddr42_c      : std_ulogic_vector(11 downto 0) := x"3da";
619
  constant csr_pmpaddr43_c      : std_ulogic_vector(11 downto 0) := x"3db";
620
  constant csr_pmpaddr44_c      : std_ulogic_vector(11 downto 0) := x"3dc";
621
  constant csr_pmpaddr45_c      : std_ulogic_vector(11 downto 0) := x"3dd";
622
  constant csr_pmpaddr46_c      : std_ulogic_vector(11 downto 0) := x"3de";
623
  constant csr_pmpaddr47_c      : std_ulogic_vector(11 downto 0) := x"3df";
624
  constant csr_pmpaddr48_c      : std_ulogic_vector(11 downto 0) := x"3e0";
625
  constant csr_pmpaddr49_c      : std_ulogic_vector(11 downto 0) := x"3e1";
626
  constant csr_pmpaddr50_c      : std_ulogic_vector(11 downto 0) := x"3e2";
627
  constant csr_pmpaddr51_c      : std_ulogic_vector(11 downto 0) := x"3e3";
628
  constant csr_pmpaddr52_c      : std_ulogic_vector(11 downto 0) := x"3e4";
629
  constant csr_pmpaddr53_c      : std_ulogic_vector(11 downto 0) := x"3e5";
630
  constant csr_pmpaddr54_c      : std_ulogic_vector(11 downto 0) := x"3e6";
631
  constant csr_pmpaddr55_c      : std_ulogic_vector(11 downto 0) := x"3e7";
632
  constant csr_pmpaddr56_c      : std_ulogic_vector(11 downto 0) := x"3e8";
633
  constant csr_pmpaddr57_c      : std_ulogic_vector(11 downto 0) := x"3e9";
634
  constant csr_pmpaddr58_c      : std_ulogic_vector(11 downto 0) := x"3ea";
635
  constant csr_pmpaddr59_c      : std_ulogic_vector(11 downto 0) := x"3eb";
636
  constant csr_pmpaddr60_c      : std_ulogic_vector(11 downto 0) := x"3ec";
637
  constant csr_pmpaddr61_c      : std_ulogic_vector(11 downto 0) := x"3ed";
638
  constant csr_pmpaddr62_c      : std_ulogic_vector(11 downto 0) := x"3ee";
639
  constant csr_pmpaddr63_c      : std_ulogic_vector(11 downto 0) := x"3ef";
640 59 zero_gravi
  -- debug mode registers --
641
  constant csr_class_debug_c    : std_ulogic_vector(09 downto 0) := x"7b" & "00"; -- debug registers
642
  constant csr_dcsr_c           : std_ulogic_vector(11 downto 0) := x"7b0";
643
  constant csr_dpc_c            : std_ulogic_vector(11 downto 0) := x"7b1";
644
  constant csr_dscratch0_c      : std_ulogic_vector(11 downto 0) := x"7b2";
645 56 zero_gravi
  -- machine counters/timers --
646 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
647
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
648
  --
649
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
650
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
651
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
652
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
653
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
654
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
655
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
656
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
657
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
658
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
659
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
660
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
661
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
662
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
663
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
664
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
665
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
666
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
667
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
668
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
669
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
670
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
671
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
672
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
673
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
674
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
675
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
676
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
677
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
678
  --
679
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
680
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
681
  --
682
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
683
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
684
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
685
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
686
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
687
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
688
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
689
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
690
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
691
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
692
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
693
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
694
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
695
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
696
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
697
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
698
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
699
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
700
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
701
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
702
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
703
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
704
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
705
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
706
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
707
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
708
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
709
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
710
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
711
 
712 56 zero_gravi
  -- <<< standard read-only CSRs >>> --
713
  -- user counters/timers --
714 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
715
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
716
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
717 29 zero_gravi
  --
718 42 zero_gravi
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
719
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
720
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
721 56 zero_gravi
  -- machine information registers --
722 42 zero_gravi
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
723
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
724
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
725
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
726 56 zero_gravi
  -- <<< custom (NEORV32-specific) read-only CSRs >>> --
727 42 zero_gravi
  constant csr_mzext_c          : std_ulogic_vector(11 downto 0) := x"fc0";
728
 
729 44 zero_gravi
  -- Co-Processor IDs -----------------------------------------------------------------------
730 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
731 61 zero_gravi
  constant cp_sel_shifter_c  : std_ulogic_vector(1 downto 0) := "00"; -- shift operation
732
  constant cp_sel_muldiv_c   : std_ulogic_vector(1 downto 0) := "01"; -- multiplication/division operations ('M' extension)
733
--constant cp_sel_bitmanip_c : std_ulogic_vector(1 downto 0) := "10"; -- bit manipulation ('B' extension)
734
  constant cp_sel_fpu_c      : std_ulogic_vector(1 downto 0) := "11"; -- floating-point unit ('Zfinx' extension)
735 2 zero_gravi
 
736
  -- ALU Function Codes ---------------------------------------------------------------------
737
  -- -------------------------------------------------------------------------------------------
738 39 zero_gravi
  -- arithmetic core --
739
  constant alu_arith_cmd_addsub_c : std_ulogic := '0'; -- r.arith <= A +/- B
740
  constant alu_arith_cmd_slt_c    : std_ulogic := '1'; -- r.arith <= A < B
741
  -- logic core --
742
  constant alu_logic_cmd_movb_c   : std_ulogic_vector(1 downto 0) := "00"; -- r.logic <= B
743
  constant alu_logic_cmd_xor_c    : std_ulogic_vector(1 downto 0) := "01"; -- r.logic <= A xor B
744
  constant alu_logic_cmd_or_c     : std_ulogic_vector(1 downto 0) := "10"; -- r.logic <= A or B
745
  constant alu_logic_cmd_and_c    : std_ulogic_vector(1 downto 0) := "11"; -- r.logic <= A and B
746
  -- function select (actual alu result) --
747
  constant alu_func_cmd_arith_c   : std_ulogic_vector(1 downto 0) := "00"; -- r <= r.arith
748
  constant alu_func_cmd_logic_c   : std_ulogic_vector(1 downto 0) := "01"; -- r <= r.logic
749 61 zero_gravi
  constant alu_func_cmd_csrr_c    : std_ulogic_vector(1 downto 0) := "10"; -- r <= CSR read
750 60 zero_gravi
  constant alu_func_cmd_copro_c   : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (multi-cycle)
751 2 zero_gravi
 
752 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
753
  -- -------------------------------------------------------------------------------------------
754 60 zero_gravi
  -- MSB   : 1 = async exception (IRQ); 0 = sync exception (e.g. ebreak)
755 59 zero_gravi
  -- MSB-1 : 1 = entry to debug mode; 0 = normal trapping
756 48 zero_gravi
  -- RISC-V compliant sync. exceptions --
757 59 zero_gravi
  constant trap_ima_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00000"; -- 0.0:  instruction misaligned
758
  constant trap_iba_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00001"; -- 0.1:  instruction access fault
759
  constant trap_iil_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00010"; -- 0.2:  illegal instruction
760
  constant trap_brk_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00011"; -- 0.3:  breakpoint
761
  constant trap_lma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00100"; -- 0.4:  load address misaligned
762
  constant trap_lbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00101"; -- 0.5:  load access fault
763
  constant trap_sma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00110"; -- 0.6:  store address misaligned
764
  constant trap_sbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00111"; -- 0.7:  store access fault
765
  constant trap_uenv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01000"; -- 0.8:  environment call from u-mode
766
  constant trap_menv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01011"; -- 0.11: environment call from m-mode
767 48 zero_gravi
  -- RISC-V compliant interrupts (async. exceptions) --
768 59 zero_gravi
  constant trap_nmi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00000"; -- 1.0:  non-maskable interrupt
769
  constant trap_msi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00011"; -- 1.3:  machine software interrupt
770
  constant trap_mti_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00111"; -- 1.7:  machine timer interrupt
771
  constant trap_mei_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "01011"; -- 1.11: machine external interrupt
772 48 zero_gravi
  -- NEORV32-specific (custom) interrupts (async. exceptions) --
773 59 zero_gravi
  constant trap_firq0_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10000"; -- 1.16: fast interrupt 0
774
  constant trap_firq1_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10001"; -- 1.17: fast interrupt 1
775
  constant trap_firq2_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10010"; -- 1.18: fast interrupt 2
776
  constant trap_firq3_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10011"; -- 1.19: fast interrupt 3
777
  constant trap_firq4_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10100"; -- 1.20: fast interrupt 4
778
  constant trap_firq5_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10101"; -- 1.21: fast interrupt 5
779
  constant trap_firq6_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10110"; -- 1.22: fast interrupt 6
780
  constant trap_firq7_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10111"; -- 1.23: fast interrupt 7
781
  constant trap_firq8_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11000"; -- 1.24: fast interrupt 8
782
  constant trap_firq9_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11001"; -- 1.25: fast interrupt 9
783
  constant trap_firq10_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11010"; -- 1.26: fast interrupt 10
784
  constant trap_firq11_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11011"; -- 1.27: fast interrupt 11
785
  constant trap_firq12_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11100"; -- 1.28: fast interrupt 12
786
  constant trap_firq13_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11101"; -- 1.29: fast interrupt 13
787
  constant trap_firq14_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11110"; -- 1.30: fast interrupt 14
788
  constant trap_firq15_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11111"; -- 1.31: fast interrupt 15
789
  -- entering debug mode - cause --
790
  constant trap_db_break_c : std_ulogic_vector(6 downto 0) := "0" & "1" & "00010"; -- break instruction (sync / EXCEPTION)
791
  constant trap_db_halt_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00011"; -- external halt request (async / IRQ)
792
  constant trap_db_step_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00100"; -- single-stepping (async / IRQ)
793 12 zero_gravi
 
794 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
795
  -- -------------------------------------------------------------------------------------------
796
  -- exception source bits --
797 59 zero_gravi
  constant exception_iaccess_c   : natural :=  0; -- instruction access fault
798
  constant exception_iillegal_c  : natural :=  1; -- illegal instruction
799
  constant exception_ialign_c    : natural :=  2; -- instruction address misaligned
800 47 zero_gravi
  constant exception_m_envcall_c : natural :=  3; -- ENV call from m-mode
801
  constant exception_u_envcall_c : natural :=  4; -- ENV call from u-mode
802
  constant exception_break_c     : natural :=  5; -- breakpoint
803
  constant exception_salign_c    : natural :=  6; -- store address misaligned
804
  constant exception_lalign_c    : natural :=  7; -- load address misaligned
805
  constant exception_saccess_c   : natural :=  8; -- store access fault
806
  constant exception_laccess_c   : natural :=  9; -- load access fault
807 59 zero_gravi
  -- for debug mode only --
808
  constant exception_db_break_c  : natural := 10; -- enter debug mode via ebreak instruction ("sync EXCEPTION")
809 14 zero_gravi
  --
810 59 zero_gravi
  constant exception_width_c     : natural := 11; -- length of this list in bits
811 2 zero_gravi
  -- interrupt source bits --
812 58 zero_gravi
  constant interrupt_nm_irq_c    : natural :=  0; -- non-maskable interrupt
813
  constant interrupt_msw_irq_c   : natural :=  1; -- machine software interrupt
814
  constant interrupt_mtime_irq_c : natural :=  2; -- machine timer interrupt
815
  constant interrupt_mext_irq_c  : natural :=  3; -- machine external interrupt
816
  constant interrupt_firq_0_c    : natural :=  4; -- fast interrupt channel 0
817
  constant interrupt_firq_1_c    : natural :=  5; -- fast interrupt channel 1
818
  constant interrupt_firq_2_c    : natural :=  6; -- fast interrupt channel 2
819
  constant interrupt_firq_3_c    : natural :=  7; -- fast interrupt channel 3
820
  constant interrupt_firq_4_c    : natural :=  8; -- fast interrupt channel 4
821
  constant interrupt_firq_5_c    : natural :=  9; -- fast interrupt channel 5
822
  constant interrupt_firq_6_c    : natural := 10; -- fast interrupt channel 6
823
  constant interrupt_firq_7_c    : natural := 11; -- fast interrupt channel 7
824
  constant interrupt_firq_8_c    : natural := 12; -- fast interrupt channel 8
825
  constant interrupt_firq_9_c    : natural := 13; -- fast interrupt channel 9
826
  constant interrupt_firq_10_c   : natural := 14; -- fast interrupt channel 10
827
  constant interrupt_firq_11_c   : natural := 15; -- fast interrupt channel 11
828
  constant interrupt_firq_12_c   : natural := 16; -- fast interrupt channel 12
829
  constant interrupt_firq_13_c   : natural := 17; -- fast interrupt channel 13
830
  constant interrupt_firq_14_c   : natural := 18; -- fast interrupt channel 14
831
  constant interrupt_firq_15_c   : natural := 19; -- fast interrupt channel 15
832 59 zero_gravi
  -- for debug mode only --
833
  constant interrupt_db_halt_c   : natural := 20; -- enter debug mode via external halt request ("async IRQ")
834
  constant interrupt_db_step_c   : natural := 21; -- enter debug mode via single-stepping ("async IRQ")
835 14 zero_gravi
  --
836 59 zero_gravi
  constant interrupt_width_c     : natural := 22; -- length of this list in bits
837 2 zero_gravi
 
838 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
839
  -- -------------------------------------------------------------------------------------------
840 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
841
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
842 15 zero_gravi
 
843 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
844
  -- -------------------------------------------------------------------------------------------
845
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
846 56 zero_gravi
  constant hpmcnt_event_never_c   : natural := 1;  -- Unused / never (actually, this would be used for TIME)
847 42 zero_gravi
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
848
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
849
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
850
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
851 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
852
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
853
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
854
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
855
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
856
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
857
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
858
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
859
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
860 42 zero_gravi
  --
861 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
862 42 zero_gravi
 
863 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
864 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
865
  constant clk_div2_c    : natural := 0;
866
  constant clk_div4_c    : natural := 1;
867
  constant clk_div8_c    : natural := 2;
868
  constant clk_div64_c   : natural := 3;
869
  constant clk_div128_c  : natural := 4;
870
  constant clk_div1024_c : natural := 5;
871
  constant clk_div2048_c : natural := 6;
872
  constant clk_div4096_c : natural := 7;
873
 
874
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
875
  -- -------------------------------------------------------------------------------------------
876
  component neorv32_top
877
    generic (
878
      -- General --
879 12 zero_gravi
      CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
880
      USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
881 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
882 61 zero_gravi
      INT_BOOTLOADER_EN            : boolean := true;   -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
883 59 zero_gravi
      -- On-Chip Debugger (OCD) --
884
      ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
885 2 zero_gravi
      -- RISC-V CPU Extensions --
886 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
887 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
888 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
889 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
890 18 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
891 57 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
892 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
893 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
894 61 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false; -- implement multiply-only M sub-extension?
895 19 zero_gravi
      -- Extension Options --
896 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
897
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
898 56 zero_gravi
      CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
899 15 zero_gravi
      -- Physical Memory Protection (PMP) --
900 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
901
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
902
      -- Hardware Performance Monitors (HPM) --
903 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
904 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
905 61 zero_gravi
      -- Internal Instruction memory (IMEM) --
906 44 zero_gravi
      MEM_INT_IMEM_EN              : boolean := true;   -- implement processor-internal instruction memory
907 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
908 61 zero_gravi
      -- Internal Data memory (DMEM) --
909 44 zero_gravi
      MEM_INT_DMEM_EN              : boolean := true;   -- implement processor-internal data memory
910 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
911 61 zero_gravi
      -- Internal Cache memory (iCACHE) --
912 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
913 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
914
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
915 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
916 61 zero_gravi
      -- External memory interface (WISHBONE) --
917 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
918 57 zero_gravi
      MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
919 61 zero_gravi
      -- Stream link interface (SLINK) --
920
      SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
921
      SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
922
      SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
923
      SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
924
      -- External Interrupts Controller (XIRQ) --
925
      XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
926
      XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := (others => '1'); -- trigger type: 0=level, 1=edge
927
      XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := (others => '1'); -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
928 2 zero_gravi
      -- Processor peripherals --
929 44 zero_gravi
      IO_GPIO_EN                   : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
930
      IO_MTIME_EN                  : boolean := true;   -- implement machine system timer (MTIME)?
931 50 zero_gravi
      IO_UART0_EN                  : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
932
      IO_UART1_EN                  : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
933 44 zero_gravi
      IO_SPI_EN                    : boolean := true;   -- implement serial peripheral interface (SPI)?
934
      IO_TWI_EN                    : boolean := true;   -- implement two-wire interface (TWI)?
935 60 zero_gravi
      IO_PWM_NUM_CH                : natural := 4;      -- number of PWM channels to implement (0..60); 0 = disabled
936 44 zero_gravi
      IO_WDT_EN                    : boolean := true;   -- implement watch dog timer (WDT)?
937
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
938 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
939 56 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
940 52 zero_gravi
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
941
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
942
      IO_NEOLED_EN                 : boolean := true    -- implement NeoPixel-compatible smart LED interface (NEOLED)?
943 2 zero_gravi
    );
944
    port (
945
      -- Global control --
946 61 zero_gravi
      clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
947
      rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
948 59 zero_gravi
      -- JTAG on-chip debugger interface --
949 61 zero_gravi
      jtag_trst_i    : in  std_ulogic := '0'; -- low-active TAP reset (optional)
950
      jtag_tck_i     : in  std_ulogic := '0'; -- serial clock
951
      jtag_tdi_i     : in  std_ulogic := '0'; -- serial data input
952
      jtag_tdo_o     : out std_ulogic;        -- serial data output
953
      jtag_tms_i     : in  std_ulogic := '0'; -- mode select
954 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
955 61 zero_gravi
      wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
956
      wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
957
      wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
958
      wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
959
      wb_we_o        : out std_ulogic; -- read/write
960
      wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
961
      wb_stb_o       : out std_ulogic; -- strobe
962
      wb_cyc_o       : out std_ulogic; -- valid cycle
963
      wb_lock_o      : out std_ulogic; -- exclusive access request
964
      wb_ack_i       : in  std_ulogic := '0'; -- transfer acknowledge
965
      wb_err_i       : in  std_ulogic := '0'; -- transfer error
966 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
967 61 zero_gravi
      fence_o        : out std_ulogic; -- indicates an executed FENCE operation
968
      fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
969
      -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
970
      slink_tx_dat_o : out sdata_8x32_t; -- output data
971
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
972
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => '0'); -- ready to send
973
      -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
974
      slink_rx_dat_i : in  sdata_8x32_t := (others => (others => '0')); -- input data
975
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => '0'); -- valid input
976
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
977 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
978 61 zero_gravi
      gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
979
      gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- parallel input
980 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
981 61 zero_gravi
      uart0_txd_o    : out std_ulogic; -- UART0 send data
982
      uart0_rxd_i    : in  std_ulogic := '0'; -- UART0 receive data
983
      uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
984
      uart0_cts_i    : in  std_ulogic := '0'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
985 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
986 61 zero_gravi
      uart1_txd_o    : out std_ulogic; -- UART1 send data
987
      uart1_rxd_i    : in  std_ulogic := '0'; -- UART1 receive data
988
      uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
989
      uart1_cts_i    : in  std_ulogic := '0'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
990 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
991 61 zero_gravi
      spi_sck_o      : out std_ulogic; -- SPI serial clock
992
      spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
993
      spi_sdi_i      : in  std_ulogic := '0'; -- controller data in, peripheral data out
994
      spi_csn_o      : out std_ulogic_vector(07 downto 0); -- SPI CS
995 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
996 61 zero_gravi
      twi_sda_io     : inout std_logic; -- twi serial data line
997
      twi_scl_io     : inout std_logic; -- twi serial clock line
998 60 zero_gravi
      -- PWM (available if IO_PWM_NUM_CH > 0) --
999 61 zero_gravi
      pwm_o          : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
1000 47 zero_gravi
      -- Custom Functions Subsystem IO --
1001 61 zero_gravi
      cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0); -- custom CFS inputs conduit
1002
      cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
1003 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
1004 61 zero_gravi
      neoled_o       : out std_ulogic; -- async serial data line
1005 59 zero_gravi
      -- System time --
1006 61 zero_gravi
      mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
1007
      mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
1008
      -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
1009
      xirq_i         : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => '0'); -- IRQ channels
1010
      -- CPU Interrupts --
1011
      nm_irq_i       : in  std_ulogic := '0'; -- non-maskable interrupt
1012
      mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_EN = false
1013
      msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
1014
      mext_irq_i     : in  std_ulogic := '0'  -- machine external interrupt
1015 2 zero_gravi
    );
1016
  end component;
1017
 
1018 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
1019
  -- -------------------------------------------------------------------------------------------
1020
  component neorv32_cpu
1021
    generic (
1022
      -- General --
1023 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
1024
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0) := x"00000000"; -- cpu boot address
1025 59 zero_gravi
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0) := x"00000000"; -- cpu debug mode start address
1026 4 zero_gravi
      -- RISC-V CPU Extensions --
1027 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
1028 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
1029
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
1030 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement mul/div extension?
1031 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
1032 53 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
1033 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
1034 49 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
1035 61 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false; -- implement multiply-only M sub-extension?
1036 59 zero_gravi
      CPU_EXTENSION_RISCV_DEBUG    : boolean := false; -- implement CPU debug mode?
1037 19 zero_gravi
      -- Extension Options --
1038
      FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
1039 34 zero_gravi
      FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
1040 56 zero_gravi
      CPU_CNT_WIDTH                : natural := 64;    -- total width of CPU cycle and instret counters (0..64)
1041 15 zero_gravi
      -- Physical Memory Protection (PMP) --
1042 52 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;     -- number of regions (0..64)
1043 42 zero_gravi
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1044
      -- Hardware Performance Monitors (HPM) --
1045 56 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;     -- number of implemented HPM counters (0..29)
1046 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40     -- total size of HPM counters (0..64)
1047 4 zero_gravi
    );
1048
    port (
1049
      -- global control --
1050 14 zero_gravi
      clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
1051
      rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
1052 47 zero_gravi
      sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
1053 12 zero_gravi
      -- instruction bus interface --
1054
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1055 14 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
1056 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1057
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1058
      i_bus_we_o     : out std_ulogic; -- write enable
1059
      i_bus_re_o     : out std_ulogic; -- read enable
1060 57 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- exclusive access request
1061 14 zero_gravi
      i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
1062
      i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
1063 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
1064 35 zero_gravi
      i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1065 12 zero_gravi
      -- data bus interface --
1066
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1067 14 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
1068 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1069
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1070
      d_bus_we_o     : out std_ulogic; -- write enable
1071
      d_bus_re_o     : out std_ulogic; -- read enable
1072 57 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- exclusive access request
1073 14 zero_gravi
      d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
1074
      d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
1075 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
1076 35 zero_gravi
      d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1077 11 zero_gravi
      -- system time input from MTIME --
1078 14 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
1079 58 zero_gravi
      -- non-maskable interrupt --
1080
      nm_irq_i       : in  std_ulogic := '0'; -- NMI
1081 14 zero_gravi
      -- interrupts (risc-v compliant) --
1082
      msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
1083
      mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
1084
      mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
1085
      -- fast interrupts (custom) --
1086 48 zero_gravi
      firq_i         : in  std_ulogic_vector(15 downto 0) := (others => '0');
1087 59 zero_gravi
      -- debug mode (halt) request --
1088
      db_halt_req_i  : in  std_ulogic := '0'
1089 4 zero_gravi
    );
1090
  end component;
1091
 
1092 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1093
  -- -------------------------------------------------------------------------------------------
1094
  component neorv32_cpu_control
1095
    generic (
1096
      -- General --
1097 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;     -- hardware thread id (32-bit)
1098 59 zero_gravi
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0) := x"00000000"; -- cpu boot address
1099
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0) := x"00000000"; -- cpu debug mode start address
1100 2 zero_gravi
      -- RISC-V CPU Extensions --
1101 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false; -- implement atomic extension?
1102 12 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
1103
      CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
1104 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false; -- implement mul/div extension?
1105 15 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
1106 53 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
1107 12 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
1108 49 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false; -- implement instruction stream sync.?
1109 61 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false; -- implement multiply-only M sub-extension?
1110 59 zero_gravi
      CPU_EXTENSION_RISCV_DEBUG    : boolean := false; -- implement CPU debug mode?
1111 56 zero_gravi
      -- Extension Options --
1112
      CPU_CNT_WIDTH                : natural := 64; -- total width of CPU cycle and instret counters (0..64)
1113 15 zero_gravi
      -- Physical memory protection (PMP) --
1114 52 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;     -- number of regions (0..64)
1115 42 zero_gravi
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1116
      -- Hardware Performance Monitors (HPM) --
1117 56 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;     -- number of implemented HPM counters (0..29)
1118 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40     -- total size of HPM counters (0..64)
1119 2 zero_gravi
    );
1120
    port (
1121
      -- global control --
1122
      clk_i         : in  std_ulogic; -- global clock, rising edge
1123
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1124
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1125
      -- status input --
1126 61 zero_gravi
      alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
1127 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1128
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1129 57 zero_gravi
      excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
1130 2 zero_gravi
      -- data input --
1131
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1132
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1133 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1134 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1135 2 zero_gravi
      -- data output --
1136
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1137 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1138
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1139 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1140 52 zero_gravi
      -- FPU interface --
1141
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1142 59 zero_gravi
      -- debug mode (halt) request --
1143
      db_halt_req_i : in  std_ulogic;
1144 58 zero_gravi
      -- non-maskable interrupt --
1145
      nm_irq_i      : in  std_ulogic;
1146 14 zero_gravi
      -- interrupts (risc-v compliant) --
1147
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1148
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1149 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1150 14 zero_gravi
      -- fast interrupts (custom) --
1151 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1152 11 zero_gravi
      -- system time input from MTIME --
1153
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1154 15 zero_gravi
      -- physical memory protection --
1155
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1156
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1157 2 zero_gravi
      -- bus access exceptions --
1158
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1159
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1160
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1161
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1162
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1163
      be_load_i     : in  std_ulogic; -- bus error on load data access
1164 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1165 2 zero_gravi
    );
1166
  end component;
1167
 
1168
  -- Component: CPU Register File -----------------------------------------------------------
1169
  -- -------------------------------------------------------------------------------------------
1170
  component neorv32_cpu_regfile
1171
    generic (
1172
      CPU_EXTENSION_RISCV_E : boolean := false -- implement embedded RF extension?
1173
    );
1174
    port (
1175
      -- global control --
1176
      clk_i  : in  std_ulogic; -- global clock, rising edge
1177
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1178
      -- data input --
1179
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1180
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1181
      -- data output --
1182
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1183 47 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 2
1184
      cmp_o  : out std_ulogic_vector(1 downto 0) -- comparator status
1185 2 zero_gravi
    );
1186
  end component;
1187
 
1188
  -- Component: CPU ALU ---------------------------------------------------------------------
1189
  -- -------------------------------------------------------------------------------------------
1190
  component neorv32_cpu_alu
1191 11 zero_gravi
    generic (
1192 61 zero_gravi
      -- RISC-V CPU Extensions --
1193
      CPU_EXTENSION_RISCV_M     : boolean := false; -- implement mul/div extension?
1194
      CPU_EXTENSION_RISCV_Zmmul : boolean := false; -- implement multiply-only M sub-extension?
1195
      CPU_EXTENSION_RISCV_Zfinx : boolean := false; -- implement 32-bit floating-point extension (using INT reg!)
1196
      -- Extension Options --
1197
      FAST_MUL_EN               : boolean := false; -- use DSPs for M extension's multiplier
1198
      FAST_SHIFT_EN             : boolean := false  -- use barrel shifter for shift operations
1199 11 zero_gravi
    );
1200 2 zero_gravi
    port (
1201
      -- global control --
1202
      clk_i       : in  std_ulogic; -- global clock, rising edge
1203
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1204
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1205
      -- data input --
1206
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1207
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1208
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
1209
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1210 61 zero_gravi
      csr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1211
      cmp_i       : in  std_ulogic_vector(1 downto 0); -- comparator status
1212 2 zero_gravi
      -- data output --
1213
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1214 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1215 61 zero_gravi
      fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
1216 2 zero_gravi
      -- status --
1217 61 zero_gravi
      idone_o     : out std_ulogic -- iterative processing units done?
1218 2 zero_gravi
    );
1219
  end component;
1220
 
1221 61 zero_gravi
  -- Component: CPU Co-Processor SHIFTER ----------------------------------------------------
1222
  -- -------------------------------------------------------------------------------------------
1223
  component neorv32_cpu_cp_shifter
1224
    generic (
1225
      FAST_SHIFT_EN : boolean := false -- use barrel shifter for shift operations
1226
    );
1227
    port (
1228
      -- global control --
1229
      clk_i   : in  std_ulogic; -- global clock, rising edge
1230
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1231
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1232
      start_i : in  std_ulogic; -- trigger operation
1233
      -- data input --
1234
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1235
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1236
      imm_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1237
      -- result and status --
1238
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1239
      valid_o : out std_ulogic -- data output valid
1240
    );
1241
  end component;
1242
 
1243 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1244 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1245
  component neorv32_cpu_cp_muldiv
1246 19 zero_gravi
    generic (
1247 61 zero_gravi
      FAST_MUL_EN : boolean := false; -- use DSPs for faster multiplication
1248
      DIVISION_EN : boolean := true   -- implement divider hardware
1249 19 zero_gravi
    );
1250 2 zero_gravi
    port (
1251
      -- global control --
1252
      clk_i   : in  std_ulogic; -- global clock, rising edge
1253
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1254
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1255 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1256 2 zero_gravi
      -- data input --
1257
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1258
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1259
      -- result and status --
1260
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1261
      valid_o : out std_ulogic -- data output valid
1262
    );
1263
  end component;
1264
 
1265 53 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) -----------------------------
1266 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1267
  component neorv32_cpu_cp_fpu
1268
    port (
1269
      -- global control --
1270 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock, rising edge
1271
      rstn_i   : in  std_ulogic; -- global reset, low-active, async
1272
      ctrl_i   : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1273
      start_i  : in  std_ulogic; -- trigger operation
1274 52 zero_gravi
      -- data input --
1275 56 zero_gravi
      cmp_i    : in  std_ulogic_vector(1 downto 0); -- comparator status
1276 53 zero_gravi
      rs1_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1277
      rs2_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1278 52 zero_gravi
      -- result and status --
1279 53 zero_gravi
      res_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1280
      fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags
1281
      valid_o  : out std_ulogic -- data output valid
1282 52 zero_gravi
    );
1283
  end component;
1284
 
1285 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1286
  -- -------------------------------------------------------------------------------------------
1287
  component neorv32_cpu_bus
1288
    generic (
1289 57 zero_gravi
      CPU_EXTENSION_RISCV_A : boolean := false;  -- implement atomic extension?
1290
      CPU_EXTENSION_RISCV_C : boolean := true;   -- implement compressed extension?
1291 15 zero_gravi
      -- Physical memory protection (PMP) --
1292 57 zero_gravi
      PMP_NUM_REGIONS       : natural := 0;      -- number of regions (0..64)
1293
      PMP_MIN_GRANULARITY   : natural := 64*1024 -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1294 2 zero_gravi
    );
1295
    port (
1296
      -- global control --
1297 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1298 38 zero_gravi
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
1299 12 zero_gravi
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1300
      -- cpu instruction fetch interface --
1301
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1302
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1303
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
1304
      --
1305
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
1306
      be_instr_o     : out std_ulogic; -- bus error on instruction access
1307
      -- cpu data access interface --
1308
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1309
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1310
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1311
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1312
      d_wait_o       : out std_ulogic; -- wait for access to complete
1313
      --
1314 57 zero_gravi
      excl_state_o   : out std_ulogic; -- atomic/exclusive access status
1315 12 zero_gravi
      ma_load_o      : out std_ulogic; -- misaligned load data address
1316
      ma_store_o     : out std_ulogic; -- misaligned store data address
1317
      be_load_o      : out std_ulogic; -- bus error on load data access
1318
      be_store_o     : out std_ulogic; -- bus error on store data access
1319 15 zero_gravi
      -- physical memory protection --
1320
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
1321
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
1322 12 zero_gravi
      -- instruction bus --
1323
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1324
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1325
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1326
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1327
      i_bus_we_o     : out std_ulogic; -- write enable
1328
      i_bus_re_o     : out std_ulogic; -- read enable
1329 57 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- exclusive access request
1330 12 zero_gravi
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1331
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
1332
      i_bus_fence_o  : out std_ulogic; -- fence operation
1333
      -- data bus --
1334
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1335
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1336
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1337
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1338
      d_bus_we_o     : out std_ulogic; -- write enable
1339
      d_bus_re_o     : out std_ulogic; -- read enable
1340 57 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- exclusive access request
1341 12 zero_gravi
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1342
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
1343 57 zero_gravi
      d_bus_fence_o  : out std_ulogic  -- fence operation
1344 2 zero_gravi
    );
1345
  end component;
1346
 
1347 57 zero_gravi
  -- Component: Bus Keeper ------------------------------------------------------------------
1348
  -- -------------------------------------------------------------------------------------------
1349
  component neorv32_bus_keeper is
1350
    generic (
1351 59 zero_gravi
       -- External memory interface --
1352
      MEM_EXT_EN        : boolean := false;  -- implement external memory bus interface?
1353 57 zero_gravi
      -- Internal instruction memory --
1354
      MEM_INT_IMEM_EN   : boolean := true;   -- implement processor-internal instruction memory
1355
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1356
      -- Internal data memory --
1357
      MEM_INT_DMEM_EN   : boolean := true;   -- implement processor-internal data memory
1358
      MEM_INT_DMEM_SIZE : natural := 8*1024  -- size of processor-internal data memory in bytes
1359
    );
1360
    port (
1361
      -- host access --
1362
      clk_i  : in  std_ulogic; -- global clock line
1363
      rstn_i : in  std_ulogic; -- global reset line, low-active
1364
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1365
      rden_i : in  std_ulogic; -- read enable
1366
      wren_i : in  std_ulogic; -- write enable
1367
      ack_i  : in  std_ulogic; -- transfer acknowledge from bus system
1368
      err_i  : in  std_ulogic; -- transfer error from bus system
1369
      err_o  : out std_ulogic  -- bus error
1370
    );
1371
  end component;
1372
 
1373 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1374 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1375 45 zero_gravi
  component neorv32_icache
1376 41 zero_gravi
    generic (
1377 47 zero_gravi
      ICACHE_NUM_BLOCKS : natural := 4;  -- number of blocks (min 1), has to be a power of 2
1378
      ICACHE_BLOCK_SIZE : natural := 16; -- block size in bytes (min 4), has to be a power of 2
1379
      ICACHE_NUM_SETS   : natural := 1   -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1380 41 zero_gravi
    );
1381
    port (
1382
      -- global control --
1383
      clk_i         : in  std_ulogic; -- global clock, rising edge
1384
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1385
      clear_i       : in  std_ulogic; -- cache clear
1386
      -- host controller interface --
1387
      host_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1388
      host_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1389
      host_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1390
      host_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1391
      host_we_i     : in  std_ulogic; -- write enable
1392
      host_re_i     : in  std_ulogic; -- read enable
1393
      host_ack_o    : out std_ulogic; -- bus transfer acknowledge
1394
      host_err_o    : out std_ulogic; -- bus transfer error
1395
      -- peripheral bus interface --
1396
      bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1397
      bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1398
      bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1399
      bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1400
      bus_we_o      : out std_ulogic; -- write enable
1401
      bus_re_o      : out std_ulogic; -- read enable
1402
      bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1403
      bus_err_i     : in  std_ulogic  -- bus transfer error
1404
    );
1405
  end component;
1406
 
1407 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1408
  -- -------------------------------------------------------------------------------------------
1409
  component neorv32_busswitch
1410
    generic (
1411
      PORT_CA_READ_ONLY : boolean := false; -- set if controller port A is read-only
1412
      PORT_CB_READ_ONLY : boolean := false  -- set if controller port B is read-only
1413
    );
1414
    port (
1415
      -- global control --
1416
      clk_i           : in  std_ulogic; -- global clock, rising edge
1417
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
1418
      -- controller interface a --
1419
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1420
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1421
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1422
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1423
      ca_bus_we_i     : in  std_ulogic; -- write enable
1424
      ca_bus_re_i     : in  std_ulogic; -- read enable
1425 57 zero_gravi
      ca_bus_lock_i   : in  std_ulogic; -- exclusive access request
1426 12 zero_gravi
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1427
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
1428
      -- controller interface b --
1429
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1430
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1431
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1432
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1433
      cb_bus_we_i     : in  std_ulogic; -- write enable
1434
      cb_bus_re_i     : in  std_ulogic; -- read enable
1435 57 zero_gravi
      cb_bus_lock_i   : in  std_ulogic; -- exclusive access request
1436 12 zero_gravi
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1437
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
1438
      -- peripheral bus --
1439 36 zero_gravi
      p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
1440 12 zero_gravi
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1441
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1442
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1443
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1444
      p_bus_we_o      : out std_ulogic; -- write enable
1445
      p_bus_re_o      : out std_ulogic; -- read enable
1446 57 zero_gravi
      p_bus_lock_o    : out std_ulogic; -- exclusive access request
1447 12 zero_gravi
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1448
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
1449
    );
1450
  end component;
1451
 
1452 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
1453
  -- -------------------------------------------------------------------------------------------
1454
  component neorv32_cpu_decompressor
1455
    port (
1456
      -- instruction input --
1457
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1458
      -- instruction output --
1459
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1460
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1461
    );
1462
  end component;
1463
 
1464
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1465
  -- -------------------------------------------------------------------------------------------
1466
  component neorv32_imem
1467
    generic (
1468 61 zero_gravi
      IMEM_BASE    : std_ulogic_vector(31 downto 0) := x"00000000"; -- memory base address
1469
      IMEM_SIZE    : natural := 4*1024; -- processor-internal instruction memory size in bytes
1470
      IMEM_AS_IROM : boolean := false   -- implement IMEM as pre-initialized read-only memory?
1471 2 zero_gravi
    );
1472
    port (
1473
      clk_i  : in  std_ulogic; -- global clock line
1474
      rden_i : in  std_ulogic; -- read enable
1475
      wren_i : in  std_ulogic; -- write enable
1476
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1477
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1478
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1479
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1480
      ack_o  : out std_ulogic -- transfer acknowledge
1481
    );
1482
  end component;
1483
 
1484
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1485
  -- -------------------------------------------------------------------------------------------
1486
  component neorv32_dmem
1487
    generic (
1488
      DMEM_BASE : std_ulogic_vector(31 downto 0) := x"80000000"; -- memory base address
1489
      DMEM_SIZE : natural := 4*1024  -- processor-internal instruction memory size in bytes
1490
    );
1491
    port (
1492
      clk_i  : in  std_ulogic; -- global clock line
1493
      rden_i : in  std_ulogic; -- read enable
1494
      wren_i : in  std_ulogic; -- write enable
1495
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1496
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1497
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1498
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1499
      ack_o  : out std_ulogic -- transfer acknowledge
1500
    );
1501
  end component;
1502
 
1503
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1504
  -- -------------------------------------------------------------------------------------------
1505
  component neorv32_boot_rom
1506 23 zero_gravi
    generic (
1507 61 zero_gravi
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) := x"FFFF0000" -- boot ROM base address
1508 23 zero_gravi
    );
1509 2 zero_gravi
    port (
1510
      clk_i  : in  std_ulogic; -- global clock line
1511
      rden_i : in  std_ulogic; -- read enable
1512
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1513
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1514
      ack_o  : out std_ulogic -- transfer acknowledge
1515
    );
1516
  end component;
1517
 
1518
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1519
  -- -------------------------------------------------------------------------------------------
1520
  component neorv32_mtime
1521
    port (
1522
      -- host access --
1523 60 zero_gravi
      clk_i  : in  std_ulogic; -- global clock line
1524
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1525
      rden_i : in  std_ulogic; -- read enable
1526
      wren_i : in  std_ulogic; -- write enable
1527
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1528
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1529
      ack_o  : out std_ulogic; -- transfer acknowledge
1530 11 zero_gravi
      -- time output for CPU --
1531 60 zero_gravi
      time_o : out std_ulogic_vector(63 downto 0); -- current system time
1532 2 zero_gravi
      -- interrupt --
1533 60 zero_gravi
      irq_o  : out std_ulogic  -- interrupt request
1534 2 zero_gravi
    );
1535
  end component;
1536
 
1537
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1538
  -- -------------------------------------------------------------------------------------------
1539
  component neorv32_gpio
1540
    port (
1541
      -- host access --
1542
      clk_i  : in  std_ulogic; -- global clock line
1543
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1544
      rden_i : in  std_ulogic; -- read enable
1545
      wren_i : in  std_ulogic; -- write enable
1546
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1547
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1548
      ack_o  : out std_ulogic; -- transfer acknowledge
1549
      -- parallel io --
1550 61 zero_gravi
      gpio_o : out std_ulogic_vector(63 downto 0);
1551
      gpio_i : in  std_ulogic_vector(63 downto 0)
1552 2 zero_gravi
    );
1553
  end component;
1554
 
1555
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1556
  -- -------------------------------------------------------------------------------------------
1557
  component neorv32_wdt
1558
    port (
1559
      -- host access --
1560
      clk_i       : in  std_ulogic; -- global clock line
1561
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1562
      rden_i      : in  std_ulogic; -- read enable
1563
      wren_i      : in  std_ulogic; -- write enable
1564
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1565
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1566
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1567
      ack_o       : out std_ulogic; -- transfer acknowledge
1568
      -- clock generator --
1569
      clkgen_en_o : out std_ulogic; -- enable clock generator
1570
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1571
      -- timeout event --
1572
      irq_o       : out std_ulogic; -- timeout IRQ
1573
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1574
    );
1575
  end component;
1576
 
1577
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1578
  -- -------------------------------------------------------------------------------------------
1579
  component neorv32_uart
1580 50 zero_gravi
    generic (
1581
      UART_PRIMARY : boolean := true -- true = primary UART (UART0), false = secondary UART (UART1)
1582
    );
1583 2 zero_gravi
    port (
1584
      -- host access --
1585
      clk_i       : in  std_ulogic; -- global clock line
1586
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1587
      rden_i      : in  std_ulogic; -- read enable
1588
      wren_i      : in  std_ulogic; -- write enable
1589
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1590
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1591
      ack_o       : out std_ulogic; -- transfer acknowledge
1592
      -- clock generator --
1593
      clkgen_en_o : out std_ulogic; -- enable clock generator
1594
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1595
      -- com lines --
1596
      uart_txd_o  : out std_ulogic;
1597
      uart_rxd_i  : in  std_ulogic;
1598 51 zero_gravi
      -- hardware flow control --
1599
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1600
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1601 2 zero_gravi
      -- interrupts --
1602 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1603
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1604 2 zero_gravi
    );
1605
  end component;
1606
 
1607
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1608
  -- -------------------------------------------------------------------------------------------
1609
  component neorv32_spi
1610
    port (
1611
      -- host access --
1612
      clk_i       : in  std_ulogic; -- global clock line
1613
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1614
      rden_i      : in  std_ulogic; -- read enable
1615
      wren_i      : in  std_ulogic; -- write enable
1616
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1617
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1618
      ack_o       : out std_ulogic; -- transfer acknowledge
1619
      -- clock generator --
1620
      clkgen_en_o : out std_ulogic; -- enable clock generator
1621
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1622
      -- com lines --
1623 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1624
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1625
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1626 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1627
      -- interrupt --
1628 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1629 2 zero_gravi
    );
1630
  end component;
1631
 
1632
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1633
  -- -------------------------------------------------------------------------------------------
1634
  component neorv32_twi
1635
    port (
1636
      -- host access --
1637
      clk_i       : in  std_ulogic; -- global clock line
1638
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1639
      rden_i      : in  std_ulogic; -- read enable
1640
      wren_i      : in  std_ulogic; -- write enable
1641
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1642
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1643
      ack_o       : out std_ulogic; -- transfer acknowledge
1644
      -- clock generator --
1645
      clkgen_en_o : out std_ulogic; -- enable clock generator
1646
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1647
      -- com lines --
1648
      twi_sda_io  : inout std_logic; -- serial data line
1649
      twi_scl_io  : inout std_logic; -- serial clock line
1650
      -- interrupt --
1651 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1652 2 zero_gravi
    );
1653
  end component;
1654
 
1655
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1656
  -- -------------------------------------------------------------------------------------------
1657
  component neorv32_pwm
1658 60 zero_gravi
    generic (
1659
      NUM_CHANNELS : natural := 4 -- number of PWM channels (0..60)
1660
    );
1661 2 zero_gravi
    port (
1662
      -- host access --
1663
      clk_i       : in  std_ulogic; -- global clock line
1664
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1665
      rden_i      : in  std_ulogic; -- read enable
1666
      wren_i      : in  std_ulogic; -- write enable
1667
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1668
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1669
      ack_o       : out std_ulogic; -- transfer acknowledge
1670
      -- clock generator --
1671
      clkgen_en_o : out std_ulogic; -- enable clock generator
1672
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1673
      -- pwm output channels --
1674 60 zero_gravi
      pwm_o       : out std_ulogic_vector(NUM_CHANNELS-1 downto 0)
1675 2 zero_gravi
    );
1676
  end component;
1677
 
1678
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1679
  -- -------------------------------------------------------------------------------------------
1680
  component neorv32_trng
1681
    port (
1682
      -- host access --
1683
      clk_i  : in  std_ulogic; -- global clock line
1684
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1685
      rden_i : in  std_ulogic; -- read enable
1686
      wren_i : in  std_ulogic; -- write enable
1687
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1688
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1689
      ack_o  : out std_ulogic  -- transfer acknowledge
1690
    );
1691
  end component;
1692
 
1693
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1694
  -- -------------------------------------------------------------------------------------------
1695
  component neorv32_wishbone
1696
    generic (
1697 23 zero_gravi
      -- Internal instruction memory --
1698 44 zero_gravi
      MEM_INT_IMEM_EN   : boolean := true;   -- implement processor-internal instruction memory
1699 35 zero_gravi
      MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1700 23 zero_gravi
      -- Internal data memory --
1701 44 zero_gravi
      MEM_INT_DMEM_EN   : boolean := true;   -- implement processor-internal data memory
1702 57 zero_gravi
      MEM_INT_DMEM_SIZE : natural := 4*1024; -- size of processor-internal data memory in bytes
1703
      -- Bus Timeout --
1704
      BUS_TIMEOUT       : natural := 63      -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1705 2 zero_gravi
    );
1706
    port (
1707
      -- global control --
1708 57 zero_gravi
      clk_i     : in  std_ulogic; -- global clock line
1709
      rstn_i    : in  std_ulogic; -- global reset line, low-active
1710 2 zero_gravi
      -- host access --
1711 57 zero_gravi
      src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
1712
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1713
      rden_i    : in  std_ulogic; -- read enable
1714
      wren_i    : in  std_ulogic; -- write enable
1715
      ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
1716
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1717
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1718
      lock_i    : in  std_ulogic; -- exclusive access request
1719
      ack_o     : out std_ulogic; -- transfer acknowledge
1720
      err_o     : out std_ulogic; -- transfer error
1721
      priv_i    : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
1722 2 zero_gravi
      -- wishbone interface --
1723 57 zero_gravi
      wb_tag_o  : out std_ulogic_vector(02 downto 0); -- request tag
1724
      wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
1725
      wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
1726
      wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
1727
      wb_we_o   : out std_ulogic; -- read/write
1728
      wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
1729
      wb_stb_o  : out std_ulogic; -- strobe
1730
      wb_cyc_o  : out std_ulogic; -- valid cycle
1731
      wb_lock_o : out std_ulogic; -- exclusive access request
1732
      wb_ack_i  : in  std_ulogic; -- transfer acknowledge
1733
      wb_err_i  : in  std_ulogic  -- transfer error
1734 2 zero_gravi
    );
1735
  end component;
1736
 
1737 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1738 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1739 47 zero_gravi
  component neorv32_cfs
1740
    generic (
1741 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1742
      CFS_IN_SIZE  : positive := 32;  -- size of CFS input conduit in bits
1743
      CFS_OUT_SIZE : positive := 32   -- size of CFS output conduit in bits
1744 23 zero_gravi
    );
1745 34 zero_gravi
    port (
1746
      -- host access --
1747
      clk_i       : in  std_ulogic; -- global clock line
1748
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1749
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1750
      rden_i      : in  std_ulogic; -- read enable
1751 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1752 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1753
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1754
      ack_o       : out std_ulogic; -- transfer acknowledge
1755
      -- clock generator --
1756
      clkgen_en_o : out std_ulogic; -- enable clock generator
1757 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1758
      -- CPU state --
1759
      sleep_i     : in  std_ulogic; -- set if cpu is in sleep mode
1760
      -- interrupt --
1761
      irq_o       : out std_ulogic; -- interrupt request
1762
      -- custom io (conduit) --
1763 52 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0);  -- custom inputs
1764
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0)  -- custom outputs
1765 34 zero_gravi
    );
1766
  end component;
1767
 
1768 61 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1769 49 zero_gravi
  -- -------------------------------------------------------------------------------------------
1770 61 zero_gravi
  component neorv32_neoled
1771 49 zero_gravi
    port (
1772
      -- host access --
1773
      clk_i       : in  std_ulogic; -- global clock line
1774
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1775
      rden_i      : in  std_ulogic; -- read enable
1776
      wren_i      : in  std_ulogic; -- write enable
1777
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1778
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1779
      ack_o       : out std_ulogic; -- transfer acknowledge
1780
      -- clock generator --
1781
      clkgen_en_o : out std_ulogic; -- enable clock generator
1782
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1783 61 zero_gravi
      -- interrupt --
1784
      irq_o       : out std_ulogic; -- interrupt request
1785
      -- NEOLED output --
1786
      neoled_o    : out std_ulogic -- serial async data line
1787 49 zero_gravi
    );
1788
  end component;
1789
 
1790 61 zero_gravi
  -- Component: Stream Link Interface (SLINK) -----------------------------------------------
1791 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1792 61 zero_gravi
  component neorv32_slink
1793
    generic (
1794
      SLINK_NUM_TX  : natural := 8; -- number of TX links (0..8)
1795
      SLINK_NUM_RX  : natural := 8; -- number of TX links (0..8)
1796
      SLINK_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two
1797
      SLINK_RX_FIFO : natural := 1  -- RX fifo depth, has to be a power of two
1798
    );
1799 52 zero_gravi
    port (
1800
      -- host access --
1801 61 zero_gravi
      clk_i          : in  std_ulogic; -- global clock line
1802
      addr_i         : in  std_ulogic_vector(31 downto 0); -- address
1803
      rden_i         : in  std_ulogic; -- read enable
1804
      wren_i         : in  std_ulogic; -- write enable
1805
      data_i         : in  std_ulogic_vector(31 downto 0); -- data in
1806
      data_o         : out std_ulogic_vector(31 downto 0); -- data out
1807
      ack_o          : out std_ulogic; -- transfer acknowledge
1808 52 zero_gravi
      -- interrupt --
1809 61 zero_gravi
      irq_tx_o       : out std_ulogic; -- transmission done
1810
      irq_rx_o       : out std_ulogic; -- data received
1811
      -- TX stream interfaces --
1812
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1813
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1814
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
1815
      -- RX stream interfaces --
1816
      slink_rx_dat_i : in  sdata_8x32_t; -- input data
1817
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
1818
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
1819 52 zero_gravi
    );
1820
  end component;
1821
 
1822 61 zero_gravi
  -- Component: External Interrupt Controller (XIRQ) ----------------------------------------
1823
  -- -------------------------------------------------------------------------------------------
1824
  component neorv32_xirq
1825
    generic (
1826
      XIRQ_NUM_CH           : natural := 32; -- number of external IRQ channels (0..32)
1827
      XIRQ_TRIGGER_TYPE     : std_ulogic_vector(31 downto 0) := (others => '1'); -- trigger type: 0=level, 1=edge
1828
      XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0) := (others => '1')  -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1829
    );
1830
    port (
1831
      -- host access --
1832
      clk_i     : in  std_ulogic; -- global clock line
1833
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1834
      rden_i    : in  std_ulogic; -- read enable
1835
      wren_i    : in  std_ulogic; -- write enable
1836
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1837
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1838
      ack_o     : out std_ulogic; -- transfer acknowledge
1839
      -- external interrupt lines --
1840
      xirq_i    : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
1841
      -- CPU interrupt --
1842
      cpu_irq_o : out std_ulogic
1843
    );
1844
  end component;
1845
 
1846 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1847
  -- -------------------------------------------------------------------------------------------
1848 12 zero_gravi
  component neorv32_sysinfo
1849
    generic (
1850
      -- General --
1851 41 zero_gravi
      CLOCK_FREQUENCY      : natural := 0;      -- clock frequency of clk_i in Hz
1852 61 zero_gravi
      INT_BOOTLOADER_EN            : boolean := true; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
1853 41 zero_gravi
      USER_CODE            : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
1854 23 zero_gravi
      -- Internal Instruction memory --
1855 44 zero_gravi
      MEM_INT_IMEM_EN      : boolean := true;   -- implement processor-internal instruction memory
1856 41 zero_gravi
      MEM_INT_IMEM_SIZE    : natural := 8*1024; -- size of processor-internal instruction memory in bytes
1857 23 zero_gravi
      -- Internal Data memory --
1858 44 zero_gravi
      MEM_INT_DMEM_EN      : boolean := true;   -- implement processor-internal data memory
1859 41 zero_gravi
      MEM_INT_DMEM_SIZE    : natural := 4*1024; -- size of processor-internal data memory in bytes
1860
      -- Internal Cache memory --
1861 44 zero_gravi
      ICACHE_EN            : boolean := true;   -- implement instruction cache
1862 41 zero_gravi
      ICACHE_NUM_BLOCKS    : natural := 4;      -- i-cache: number of blocks (min 2), has to be a power of 2
1863
      ICACHE_BLOCK_SIZE    : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
1864
      ICACHE_ASSOCIATIVITY : natural := 1;      -- i-cache: associativity (min 1), has to be a power 2
1865 23 zero_gravi
      -- External memory interface --
1866 44 zero_gravi
      MEM_EXT_EN           : boolean := false;  -- implement external memory bus interface?
1867 59 zero_gravi
      -- On-Chip Debugger --
1868
      ON_CHIP_DEBUGGER_EN  : boolean := false;  -- implement OCD?
1869 12 zero_gravi
      -- Processor peripherals --
1870 44 zero_gravi
      IO_GPIO_EN           : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
1871
      IO_MTIME_EN          : boolean := true;   -- implement machine system timer (MTIME)?
1872 50 zero_gravi
      IO_UART0_EN          : boolean := true;   -- implement primary universal asynchronous receiver/transmitter (UART0)?
1873
      IO_UART1_EN          : boolean := true;   -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1874 44 zero_gravi
      IO_SPI_EN            : boolean := true;   -- implement serial peripheral interface (SPI)?
1875
      IO_TWI_EN            : boolean := true;   -- implement two-wire interface (TWI)?
1876 60 zero_gravi
      IO_PWM_NUM_CH        : natural := 4;      -- number of PWM channels to implement
1877 44 zero_gravi
      IO_WDT_EN            : boolean := true;   -- implement watch dog timer (WDT)?
1878
      IO_TRNG_EN           : boolean := true;   -- implement true random number generator (TRNG)?
1879 49 zero_gravi
      IO_CFS_EN            : boolean := true;   -- implement custom functions subsystem (CFS)?
1880 61 zero_gravi
      IO_SLINK_EN          : boolean := true;   -- implement stream link interface?
1881
      IO_NEOLED_EN         : boolean := true;   -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1882
      IO_XIRQ_NUM_CH       : natural := 32      -- number of external interrupt (XIRQ) channels to implement
1883 12 zero_gravi
    );
1884
    port (
1885
      -- host access --
1886
      clk_i  : in  std_ulogic; -- global clock line
1887
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1888
      rden_i : in  std_ulogic; -- read enable
1889
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1890
      ack_o  : out std_ulogic  -- transfer acknowledge
1891
    );
1892
  end component;
1893
 
1894 61 zero_gravi
  -- Component: General Purpose FIFO .............................---------------------------
1895
  -- -------------------------------------------------------------------------------------------
1896
  component neorv32_fifo
1897
    generic (
1898
      FIFO_DEPTH : natural := 4;     -- number of fifo entries; has to be a power of two; min 1
1899
      FIFO_WIDTH : natural := 32;    -- size of data elements in fifo
1900
      FIFO_RSYNC : boolean := false; -- false = async read; true = sync read
1901
      FIFO_SAFE  : boolean := false  -- true = allow read/write only if data available
1902
    );
1903
    port (
1904
      -- control --
1905
      clk_i   : in  std_ulogic; -- clock, rising edge
1906
      rstn_i  : in  std_ulogic; -- async reset, low-active
1907
      clear_i : in  std_ulogic; -- sync reset, high-active
1908
      -- write port --
1909
      wdata_i : in  std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data
1910
      we_i    : in  std_ulogic; -- write enable
1911
      free_o  : out std_ulogic; -- at least one entry is free when set
1912
      -- read port --
1913
      re_i    : in  std_ulogic; -- read enable
1914
      rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data
1915
      avail_o : out std_ulogic  -- data available when set
1916
    );
1917
  end component;
1918
 
1919 59 zero_gravi
  -- Component: On-Chip Debugger - Debug Module (DM) ----------------------------------------
1920
  -- -------------------------------------------------------------------------------------------
1921
  component neorv32_debug_dm
1922
    port (
1923
      -- global control --
1924
      clk_i            : in  std_ulogic; -- global clock line
1925
      rstn_i           : in  std_ulogic; -- global reset line, low-active
1926
      -- debug module interface (DMI) --
1927
      dmi_rstn_i       : in  std_ulogic;
1928
      dmi_req_valid_i  : in  std_ulogic;
1929
      dmi_req_ready_o  : out std_ulogic; -- DMI is allowed to make new requests when set
1930
      dmi_req_addr_i   : in  std_ulogic_vector(06 downto 0);
1931
      dmi_req_op_i     : in  std_ulogic; -- 0=read, 1=write
1932
      dmi_req_data_i   : in  std_ulogic_vector(31 downto 0);
1933
      dmi_resp_valid_o : out std_ulogic; -- response valid when set
1934
      dmi_resp_ready_i : in  std_ulogic; -- ready to receive respond
1935
      dmi_resp_data_o  : out std_ulogic_vector(31 downto 0);
1936
      dmi_resp_err_o   : out std_ulogic; -- 0=ok, 1=error
1937
      -- CPU bus access --
1938
      cpu_addr_i       : in  std_ulogic_vector(31 downto 0); -- address
1939
      cpu_rden_i       : in  std_ulogic; -- read enable
1940
      cpu_wren_i       : in  std_ulogic; -- write enable
1941
      cpu_data_i       : in  std_ulogic_vector(31 downto 0); -- data in
1942
      cpu_data_o       : out std_ulogic_vector(31 downto 0); -- data out
1943
      cpu_ack_o        : out std_ulogic; -- transfer acknowledge
1944
      -- CPU control --
1945
      cpu_ndmrstn_o    : out std_ulogic; -- soc reset
1946
      cpu_halt_req_o   : out std_ulogic  -- request hart to halt (enter debug mode)
1947
    );
1948
  end component;
1949
 
1950
  -- Component: On-Chip Debugger - Debug Transport Module (DTM) -----------------------------
1951
  -- -------------------------------------------------------------------------------------------
1952
  component neorv32_debug_dtm
1953
    generic (
1954
      IDCODE_VERSION : std_ulogic_vector(03 downto 0) := x"0"; -- version
1955
      IDCODE_PARTID  : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number
1956
      IDCODE_MANID   : std_ulogic_vector(10 downto 0) := "00000000000" -- manufacturer id
1957
    );
1958
    port (
1959
      -- global control --
1960
      clk_i            : in  std_ulogic; -- global clock line
1961
      rstn_i           : in  std_ulogic; -- global reset line, low-active
1962
      -- jtag connection --
1963
      jtag_trst_i      : in  std_ulogic;
1964
      jtag_tck_i       : in  std_ulogic;
1965
      jtag_tdi_i       : in  std_ulogic;
1966
      jtag_tdo_o       : out std_ulogic;
1967
      jtag_tms_i       : in  std_ulogic;
1968
      -- debug module interface (DMI) --
1969
      dmi_rstn_o       : out std_ulogic;
1970
      dmi_req_valid_o  : out std_ulogic;
1971
      dmi_req_ready_i  : in  std_ulogic; -- DMI is allowed to make new requests when set
1972
      dmi_req_addr_o   : out std_ulogic_vector(06 downto 0);
1973
      dmi_req_op_o     : out std_ulogic; -- 0=read, 1=write
1974
      dmi_req_data_o   : out std_ulogic_vector(31 downto 0);
1975
      dmi_resp_valid_i : in  std_ulogic; -- response valid when set
1976
      dmi_resp_ready_o : out std_ulogic; -- ready to receive respond
1977
      dmi_resp_data_i  : in  std_ulogic_vector(31 downto 0);
1978
      dmi_resp_err_i   : in  std_ulogic -- 0=ok, 1=error
1979
    );
1980
  end component;
1981
 
1982 2 zero_gravi
end neorv32_package;
1983
 
1984
package body neorv32_package is
1985
 
1986 41 zero_gravi
  -- Function: Minimal required number of bits to represent input number --------------------
1987 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1988
  function index_size_f(input : natural) return natural is
1989
  begin
1990
    for i in 0 to natural'high loop
1991
      if (2**i >= input) then
1992
        return i;
1993
      end if;
1994
    end loop; -- i
1995
    return 0;
1996
  end function index_size_f;
1997
 
1998
  -- Function: Conditional select natural ---------------------------------------------------
1999
  -- -------------------------------------------------------------------------------------------
2000
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
2001
  begin
2002
    if (cond = true) then
2003
      return val_t;
2004
    else
2005
      return val_f;
2006
    end if;
2007
  end function cond_sel_natural_f;
2008
 
2009 56 zero_gravi
  -- Function: Conditional select integer ---------------------------------------------------
2010
  -- -------------------------------------------------------------------------------------------
2011
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer is
2012
  begin
2013
    if (cond = true) then
2014
      return val_t;
2015
    else
2016
      return val_f;
2017
    end if;
2018
  end function cond_sel_int_f;
2019
 
2020 2 zero_gravi
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
2021
  -- -------------------------------------------------------------------------------------------
2022
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
2023
  begin
2024
    if (cond = true) then
2025
      return val_t;
2026
    else
2027
      return val_f;
2028
    end if;
2029
  end function cond_sel_stdulogicvector_f;
2030
 
2031 56 zero_gravi
  -- Function: Conditional select std_ulogic ------------------------------------------------
2032
  -- -------------------------------------------------------------------------------------------
2033
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic is
2034
  begin
2035
    if (cond = true) then
2036
      return val_t;
2037
    else
2038
      return val_f;
2039
    end if;
2040
  end function cond_sel_stdulogic_f;
2041
 
2042 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
2043 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2044 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
2045
  begin
2046
    if (cond = true) then
2047
      return val_t;
2048
    else
2049
      return val_f;
2050
    end if;
2051
  end function cond_sel_string_f;
2052
 
2053
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
2054
  -- -------------------------------------------------------------------------------------------
2055 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
2056
  begin
2057
    if (cond = true) then
2058
      return '1';
2059
    else
2060
      return '0';
2061
    end if;
2062
  end function bool_to_ulogic_f;
2063
 
2064 60 zero_gravi
  -- Function: OR-reduce all bits -----------------------------------------------------------
2065 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2066 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic is
2067 2 zero_gravi
    variable tmp_v : std_ulogic;
2068
  begin
2069 56 zero_gravi
    tmp_v := '0';
2070 15 zero_gravi
    if (a'low < a'high) then -- not null range?
2071 56 zero_gravi
      for i in a'low to a'high loop
2072 15 zero_gravi
        tmp_v := tmp_v or a(i);
2073
      end loop; -- i
2074
    end if;
2075 2 zero_gravi
    return tmp_v;
2076 60 zero_gravi
  end function or_reduce_f;
2077 2 zero_gravi
 
2078 60 zero_gravi
  -- Function: AND-reduce all bits ----------------------------------------------------------
2079 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2080 60 zero_gravi
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic is
2081 2 zero_gravi
    variable tmp_v : std_ulogic;
2082
  begin
2083 56 zero_gravi
    tmp_v := '1';
2084 15 zero_gravi
    if (a'low < a'high) then -- not null range?
2085 56 zero_gravi
      for i in a'low to a'high loop
2086 15 zero_gravi
        tmp_v := tmp_v and a(i);
2087
      end loop; -- i
2088
    end if;
2089 2 zero_gravi
    return tmp_v;
2090 60 zero_gravi
  end function and_reduce_f;
2091 2 zero_gravi
 
2092 60 zero_gravi
  -- Function: XOR-reduce all bits ----------------------------------------------------------
2093 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2094 60 zero_gravi
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic is
2095 2 zero_gravi
    variable tmp_v : std_ulogic;
2096
  begin
2097 56 zero_gravi
    tmp_v := '0';
2098 15 zero_gravi
    if (a'low < a'high) then -- not null range?
2099 56 zero_gravi
      for i in a'low to a'high loop
2100 15 zero_gravi
        tmp_v := tmp_v xor a(i);
2101
      end loop; -- i
2102
    end if;
2103 2 zero_gravi
    return tmp_v;
2104 60 zero_gravi
  end function xor_reduce_f;
2105 2 zero_gravi
 
2106 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
2107 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
2108
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
2109
    variable output_v : character;
2110
  begin
2111
    case input is
2112 7 zero_gravi
      when x"0"   => output_v := '0';
2113
      when x"1"   => output_v := '1';
2114
      when x"2"   => output_v := '2';
2115
      when x"3"   => output_v := '3';
2116
      when x"4"   => output_v := '4';
2117
      when x"5"   => output_v := '5';
2118
      when x"6"   => output_v := '6';
2119
      when x"7"   => output_v := '7';
2120
      when x"8"   => output_v := '8';
2121
      when x"9"   => output_v := '9';
2122
      when x"a"   => output_v := 'a';
2123
      when x"b"   => output_v := 'b';
2124
      when x"c"   => output_v := 'c';
2125
      when x"d"   => output_v := 'd';
2126
      when x"e"   => output_v := 'e';
2127
      when x"f"   => output_v := 'f';
2128 6 zero_gravi
      when others => output_v := '?';
2129
    end case;
2130
    return output_v;
2131
  end function to_hexchar_f;
2132
 
2133 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
2134
  -- -------------------------------------------------------------------------------------------
2135
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
2136
    variable hex_value_v : std_ulogic_vector(3 downto 0);
2137
  begin
2138
    case input is
2139
      when '0'       => hex_value_v := x"0";
2140
      when '1'       => hex_value_v := x"1";
2141
      when '2'       => hex_value_v := x"2";
2142
      when '3'       => hex_value_v := x"3";
2143
      when '4'       => hex_value_v := x"4";
2144
      when '5'       => hex_value_v := x"5";
2145
      when '6'       => hex_value_v := x"6";
2146
      when '7'       => hex_value_v := x"7";
2147
      when '8'       => hex_value_v := x"8";
2148
      when '9'       => hex_value_v := x"9";
2149
      when 'a' | 'A' => hex_value_v := x"a";
2150
      when 'b' | 'B' => hex_value_v := x"b";
2151
      when 'c' | 'C' => hex_value_v := x"c";
2152
      when 'd' | 'D' => hex_value_v := x"d";
2153
      when 'e' | 'E' => hex_value_v := x"e";
2154
      when 'f' | 'F' => hex_value_v := x"f";
2155
      when others    => hex_value_v := (others => 'X');
2156
    end case;
2157
    return hex_value_v;
2158
  end function hexchar_to_stdulogicvector_f;
2159
 
2160 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
2161
  -- -------------------------------------------------------------------------------------------
2162
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
2163
    variable output_v : std_ulogic_vector(input'range);
2164
  begin
2165
    for i in 0 to input'length-1 loop
2166
      output_v(input'length-i-1) := input(i);
2167
    end loop; -- i
2168
    return output_v;
2169
  end function bit_rev_f;
2170
 
2171 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
2172
  -- -------------------------------------------------------------------------------------------
2173
  function is_power_of_two_f(input : natural) return boolean is
2174
  begin
2175 38 zero_gravi
    if (input = 1) then -- 2^0
2176 36 zero_gravi
      return true;
2177 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
2178
      return true;
2179 36 zero_gravi
    else
2180
      return false;
2181
    end if;
2182
  end function is_power_of_two_f;
2183
 
2184 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
2185
  -- -------------------------------------------------------------------------------------------
2186
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
2187
    variable output_v : std_ulogic_vector(input'range);
2188
  begin
2189
    output_v(07 downto 00) := input(31 downto 24);
2190
    output_v(15 downto 08) := input(23 downto 16);
2191
    output_v(23 downto 16) := input(15 downto 08);
2192
    output_v(31 downto 24) := input(07 downto 00);
2193
    return output_v;
2194
  end function bswap32_f;
2195
 
2196 61 zero_gravi
  -- Function: Convert char to lowercase ----------------------------------------------------
2197
  -- -------------------------------------------------------------------------------------------
2198
  function char_tolower_f(ch : character) return character is
2199
    variable res: character;
2200
   begin
2201
     case ch is
2202
       when 'A'    => res := 'a';
2203
       when 'B'    => res := 'b';
2204
       when 'C'    => res := 'c';
2205
       when 'D'    => res := 'd';
2206
       when 'E'    => res := 'e';
2207
       when 'F'    => res := 'f';
2208
       when 'G'    => res := 'g';
2209
       when 'H'    => res := 'h';
2210
       when 'I'    => res := 'i';
2211
       when 'J'    => res := 'j';
2212
       when 'K'    => res := 'k';
2213
       when 'L'    => res := 'l';
2214
       when 'M'    => res := 'm';
2215
       when 'N'    => res := 'n';
2216
       when 'O'    => res := 'o';
2217
       when 'P'    => res := 'p';
2218
       when 'Q'    => res := 'q';
2219
       when 'R'    => res := 'r';
2220
       when 'S'    => res := 's';
2221
       when 'T'    => res := 't';
2222
       when 'U'    => res := 'u';
2223
       when 'V'    => res := 'v';
2224
       when 'W'    => res := 'w';
2225
       when 'X'    => res := 'x';
2226
       when 'Y'    => res := 'y';
2227
       when 'Z'    => res := 'z';
2228
       when others => res := ch;
2229
      end case;
2230
    return res;
2231
  end function char_tolower_f;
2232
 
2233
  -- Function: Compare strings (convert to lower case, check lengths) -----------------------
2234
  -- -------------------------------------------------------------------------------------------
2235
  function str_equal_f(str0 : string; str1 : string) return boolean is
2236
    variable tmp0_v : string(str0'range);
2237
    variable tmp1_v : string(str1'range);
2238
  begin
2239
    if (str0'length /= str1'length) then -- equal length?
2240
      return false;
2241
    else
2242
      -- convert to lower case --
2243
      for i in str0'range loop
2244
        tmp0_v(i) := char_tolower_f(str0(i));
2245
      end loop;
2246
      for i in str1'range loop
2247
        tmp1_v(i) := char_tolower_f(str1(i));
2248
      end loop;
2249
      -- compare lowercase strings --
2250
      if (tmp0_v = tmp1_v) then
2251
        return true;
2252
      else
2253
        return false;
2254
      end if;
2255
    end if;
2256
  end function str_equal_f;
2257
 
2258
  -- Function: Initialize mem32_t array from another mem32_t array --------------------------
2259
  -- -------------------------------------------------------------------------------------------
2260
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
2261
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t is
2262
    variable mem_v : mem32_t(0 to depth-1);
2263
  begin
2264
      mem_v := (others => (others => '0')); -- make sure remaining memory entries are set to zero
2265
      if (init'length > depth) then
2266
        return mem_v;
2267
      end if;
2268
      for idx_v in 0 to init'length-1 loop -- init only in range of source data array
2269
        mem_v(idx_v) := init(idx_v);
2270
      end loop; -- idx_v
2271
    return mem_v;
2272
  end function mem32_init_f;
2273
 
2274 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.