OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 62

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 42 zero_gravi
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 40 zero_gravi
  -- CPU core --
48 56 zero_gravi
  constant cp_timeout_en_c   : boolean := false; -- auto-terminate pending co-processor operations after 256 cycles (for debugging only), default = false
49
  constant dedicated_reset_c : boolean := false; -- use dedicated hardware reset value for UNCRITICAL registers (FALSE=reset value is irrelevant (might simplify HW), default; TRUE=defined LOW reset value)
50 40 zero_gravi
 
51 54 zero_gravi
  -- "critical" number of implemented PMP regions --
52
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically inserted into the memory interfaces
53
  -- increasing instruction fetch & data access latency by +1 cycle but also reducing critical path length
54
  constant pmp_num_regions_critical_c : natural := 8; -- default=8
55 47 zero_gravi
 
56 57 zero_gravi
  -- "response time window" for processor-internal memories and IO devices
57
  constant max_proc_int_response_time_c : natural := 15; -- cycles after which an *unacknowledged* internal bus access will timeout and trigger a bus fault exception (min 2)
58
 
59 59 zero_gravi
  -- jtag tap - identifier --
60
  constant jtag_tap_idcode_version_c : std_ulogic_vector(03 downto 0) := x"0"; -- version
61
  constant jtag_tap_idcode_partid_c  : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number
62
  constant jtag_tap_idcode_manid_c   : std_ulogic_vector(10 downto 0) := "00000000000"; -- manufacturer id
63
 
64 61 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
65
  -- -------------------------------------------------------------------------------------------
66 62 zero_gravi
  constant data_width_c : natural := 32; -- native data path width - do not change!
67
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01050900"; -- no touchy!
68
  constant archid_c     : natural := 19; -- official NEORV32 architecture ID - hands off!
69 61 zero_gravi
 
70
  -- External Interface Types ---------------------------------------------------------------
71
  -- -------------------------------------------------------------------------------------------
72
  type sdata_8x32_t  is array (0 to 7)  of std_ulogic_vector(31 downto 0);
73
  type sdata_8x32r_t is array (0 to 7)  of std_logic_vector(31 downto 0); -- resolved type
74
 
75
  -- Internal Interface Types ---------------------------------------------------------------
76
  -- -------------------------------------------------------------------------------------------
77
  type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0);
78
  type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0);
79
  type cp_data_if_t  is array (0 to 3)  of std_ulogic_vector(data_width_c-1 downto 0);
80
 
81
  -- Internal Memory Types Configuration Types ----------------------------------------------
82
  -- -------------------------------------------------------------------------------------------
83
  type mem32_t is array (natural range <>) of std_ulogic_vector(31 downto 0); -- memory with 32-bit entries
84
  type mem8_t  is array (natural range <>) of std_ulogic_vector(07 downto 0); -- memory with 8-bit entries
85
 
86 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
87 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
88
  function index_size_f(input : natural) return natural;
89
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
90 56 zero_gravi
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer;
91 2 zero_gravi
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
92 56 zero_gravi
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic;
93 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
94 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
95 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic;
96
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic;
97
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic;
98 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
99 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
100 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
101 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
102 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
103 62 zero_gravi
  function char_to_lower_f(ch : character) return character;
104 61 zero_gravi
  function str_equal_f(str0 : string; str1 : string) return boolean;
105
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t;
106 2 zero_gravi
 
107 61 zero_gravi
  -- Internal (auto-generated) Configurations -----------------------------------------------
108 56 zero_gravi
  -- -------------------------------------------------------------------------------------------
109 61 zero_gravi
  constant def_rst_val_c : std_ulogic := cond_sel_stdulogic_f(dedicated_reset_c, '0', '-');
110 56 zero_gravi
 
111 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
112
  -- -------------------------------------------------------------------------------------------
113 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
114 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
115
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
116 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
117 2 zero_gravi
 
118 23 zero_gravi
  -- Internal Bootloader ROM --
119 61 zero_gravi
  -- Actual bootloader size is determined during runtime via the length of the bootloader initialization image
120 56 zero_gravi
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffff0000"; -- bootloader base address, fixed!
121 61 zero_gravi
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space size in bytes, fixed!
122 23 zero_gravi
 
123 59 zero_gravi
  -- On-Chip Debugger: Debug Module --
124
  constant dm_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; -- base address, fixed!
125 61 zero_gravi
  constant dm_size_c            : natural := 4*32*4; -- debug ROM address space size in bytes, fixed
126 59 zero_gravi
  constant dm_code_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800";
127
  constant dm_pbuf_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff880";
128
  constant dm_data_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff900";
129
  constant dm_sreg_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff980";
130
 
131 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
132
  -- Control register(s) (including the device-enable) should be located at the base address of each device
133 60 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
134 61 zero_gravi
  constant io_size_c            : natural := 512; -- IO address space size in bytes, fixed!
135 2 zero_gravi
 
136 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
137 60 zero_gravi
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; -- base address
138 61 zero_gravi
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
139 60 zero_gravi
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
140
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe04";
141
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe08";
142
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe0c";
143
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe10";
144
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe14";
145
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe18";
146
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe1c";
147
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe20";
148
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe24";
149
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe28";
150
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe2c";
151
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe30";
152
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe34";
153
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe38";
154
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe3c";
155
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe40";
156
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe44";
157
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe48";
158
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe4c";
159
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe50";
160
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe54";
161
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe58";
162
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe5c";
163
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe60";
164
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe64";
165
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe68";
166
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe6c";
167
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe70";
168
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe74";
169
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe78";
170
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe7c";
171 47 zero_gravi
 
172 60 zero_gravi
  -- Pulse-Width Modulation Controller (PWM) --
173
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; -- base address
174 61 zero_gravi
  constant pwm_size_c           : natural := 16*4; -- module's address space size in bytes
175 60 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80";
176
  constant pwm_duty0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe84";
177
  constant pwm_duty1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe88";
178
  constant pwm_duty2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe8c";
179
  constant pwm_duty3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe90";
180
  constant pwm_duty4_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe94";
181
  constant pwm_duty5_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe98";
182
  constant pwm_duty6_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe9c";
183
  constant pwm_duty7_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea0";
184
  constant pwm_duty8_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea4";
185
  constant pwm_duty9_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea8";
186
  constant pwm_duty10_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeac";
187
  constant pwm_duty11_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb0";
188
  constant pwm_duty12_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb4";
189
  constant pwm_duty13_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb8";
190
  constant pwm_duty14_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffebc";
191
 
192 61 zero_gravi
  -- Stream link interface (SLINK) --
193
  constant slink_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffec0"; -- base address
194
  constant slink_size_c         : natural := 16*4; -- module's address space size in bytes
195 60 zero_gravi
 
196
  -- reserved --
197
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff00"; -- base address
198 61 zero_gravi
--constant reserved_size_c      : natural := 32*4; -- module's address space size in bytes
199 60 zero_gravi
 
200 61 zero_gravi
  -- External Interrupt Controller (XIRQ) --
201
  constant xirq_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; -- base address
202
  constant xirq_size_c          : natural := 4*4; -- module's address space size in bytes
203
  constant xirq_enable_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80";
204
  constant xirq_pending_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff84";
205
  constant xirq_source_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff88";
206 62 zero_gravi
--constant xirq_reserved_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff8c";
207 2 zero_gravi
 
208
  -- Machine System Timer (MTIME) --
209 56 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; -- base address
210 61 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- module's address space size in bytes
211 56 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90";
212
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff94";
213
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff98";
214
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff9c";
215 2 zero_gravi
 
216 58 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) --
217 56 zero_gravi
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; -- base address
218 61 zero_gravi
  constant uart0_size_c         : natural := 2*4; -- module's address space size in bytes
219 56 zero_gravi
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0";
220
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa4";
221 2 zero_gravi
 
222
  -- Serial Peripheral Interface (SPI) --
223 56 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; -- base address
224 61 zero_gravi
  constant spi_size_c           : natural := 2*4; -- module's address space size in bytes
225 56 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8";
226
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffac";
227 2 zero_gravi
 
228
  -- Two Wire Interface (TWI) --
229 56 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; -- base address
230 61 zero_gravi
  constant twi_size_c           : natural := 2*4; -- module's address space size in bytes
231 56 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0";
232
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb4";
233 2 zero_gravi
 
234 61 zero_gravi
  -- True Random Number Generator (TRNG) --
235
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; -- base address
236
  constant trng_size_c          : natural := 1*4; -- module's address space size in bytes
237
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8";
238
 
239
  -- Watch Dog Timer (WDT) --
240
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; -- base address
241
  constant wdt_size_c           : natural := 1*4; -- module's address space size in bytes
242
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc";
243
 
244 60 zero_gravi
  -- reserved --
245 61 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; -- base address
246
  constant gpio_size_c          : natural := 4*4; -- module's address space size in bytes
247
  constant gpio_in_lo_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0";
248
  constant gpio_in_hi_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc4";
249
  constant gpio_out_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc8";
250
  constant gpio_out_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffcc";
251 2 zero_gravi
 
252 58 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --
253 56 zero_gravi
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; -- base address
254 61 zero_gravi
  constant uart1_size_c         : natural := 2*4; -- module's address space size in bytes
255 56 zero_gravi
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0";
256
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd4";
257 50 zero_gravi
 
258 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
259 56 zero_gravi
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; -- base address
260 61 zero_gravi
  constant neoled_size_c        : natural := 2*4; -- module's address space size in bytes
261 56 zero_gravi
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8";
262
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffdc";
263 12 zero_gravi
 
264 23 zero_gravi
  -- System Information Memory (SYSINFO) --
265 56 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffe0"; -- base address
266 61 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- module's address space size in bytes
267 12 zero_gravi
 
268 59 zero_gravi
  -- Main CPU Control Bus -------------------------------------------------------------------
269 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
270
  -- register file --
271 49 zero_gravi
  constant ctrl_rf_in_mux_c     : natural :=  0; -- input source select lsb (0=MEM, 1=ALU)
272
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
273
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
274
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
275
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
276
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
277
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
278
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
279
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
280
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
281
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
282 58 zero_gravi
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destination register address bit 0
283
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destination register address bit 1
284
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destination register address bit 2
285
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destination register address bit 3
286
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destination register address bit 4
287 49 zero_gravi
  constant ctrl_rf_wb_en_c      : natural := 16; -- write back enable
288 2 zero_gravi
  -- alu --
289 62 zero_gravi
  constant ctrl_alu_arith_c     : natural := 17; -- ALU arithmetic command
290
  constant ctrl_alu_logic0_c    : natural := 18; -- ALU logic command bit 0
291
  constant ctrl_alu_logic1_c    : natural := 19; -- ALU logic command bit 1
292
  constant ctrl_alu_func0_c     : natural := 20; -- ALU function select command bit 0
293
  constant ctrl_alu_func1_c     : natural := 21; -- ALU function select command bit 1
294
  constant ctrl_alu_addsub_c    : natural := 22; -- 0=ADD, 1=SUB
295
  constant ctrl_alu_opa_mux_c   : natural := 23; -- operand A select (0=rs1, 1=PC)
296
  constant ctrl_alu_opb_mux_c   : natural := 24; -- operand B select (0=rs2, 1=IMM)
297
  constant ctrl_alu_unsigned_c  : natural := 25; -- is unsigned ALU operation
298
  constant ctrl_alu_shift_dir_c : natural := 26; -- shift direction (0=left, 1=right)
299
  constant ctrl_alu_shift_ar_c  : natural := 27; -- is arithmetic shift
300
  constant ctrl_alu_frm0_c      : natural := 28; -- FPU rounding mode bit 0
301
  constant ctrl_alu_frm1_c      : natural := 29; -- FPU rounding mode bit 1
302
  constant ctrl_alu_frm2_c      : natural := 30; -- FPU rounding mode bit 2
303 2 zero_gravi
  -- bus interface --
304 62 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 31; -- transfer size lsb (00=byte, 01=half-word)
305
  constant ctrl_bus_size_msb_c  : natural := 32; -- transfer size msb (10=word, 11=?)
306
  constant ctrl_bus_rd_c        : natural := 33; -- read data request
307
  constant ctrl_bus_wr_c        : natural := 34; -- write data request
308
  constant ctrl_bus_if_c        : natural := 35; -- instruction fetch request
309
  constant ctrl_bus_mo_we_c     : natural := 36; -- memory address and data output register write enable
310
  constant ctrl_bus_mi_we_c     : natural := 37; -- memory data input register write enable
311
  constant ctrl_bus_unsigned_c  : natural := 38; -- is unsigned load
312
  constant ctrl_bus_ierr_ack_c  : natural := 39; -- acknowledge instruction fetch bus exceptions
313
  constant ctrl_bus_derr_ack_c  : natural := 40; -- acknowledge data access bus exceptions
314
  constant ctrl_bus_fence_c     : natural := 41; -- executed fence operation
315
  constant ctrl_bus_fencei_c    : natural := 42; -- executed fencei operation
316
  constant ctrl_bus_lock_c      : natural := 43; -- make atomic/exclusive access lock
317
  constant ctrl_bus_de_lock_c   : natural := 44; -- remove atomic/exclusive access 
318
  constant ctrl_bus_ch_lock_c   : natural := 45; -- evaluate atomic/exclusive lock (SC operation)
319 26 zero_gravi
  -- co-processors --
320 62 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 46; -- cp select ID lsb
321
  constant ctrl_cp_id_msb_c     : natural := 47; -- cp select ID msb
322 44 zero_gravi
  -- instruction's control blocks (used by cpu co-processors) --
323 62 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 48; -- funct3 bit 0
324
  constant ctrl_ir_funct3_1_c   : natural := 49; -- funct3 bit 1
325
  constant ctrl_ir_funct3_2_c   : natural := 50; -- funct3 bit 2
326
  constant ctrl_ir_funct12_0_c  : natural := 51; -- funct12 bit 0
327
  constant ctrl_ir_funct12_1_c  : natural := 52; -- funct12 bit 1
328
  constant ctrl_ir_funct12_2_c  : natural := 53; -- funct12 bit 2
329
  constant ctrl_ir_funct12_3_c  : natural := 54; -- funct12 bit 3
330
  constant ctrl_ir_funct12_4_c  : natural := 55; -- funct12 bit 4
331
  constant ctrl_ir_funct12_5_c  : natural := 56; -- funct12 bit 5
332
  constant ctrl_ir_funct12_6_c  : natural := 57; -- funct12 bit 6
333
  constant ctrl_ir_funct12_7_c  : natural := 58; -- funct12 bit 7
334
  constant ctrl_ir_funct12_8_c  : natural := 59; -- funct12 bit 8
335
  constant ctrl_ir_funct12_9_c  : natural := 60; -- funct12 bit 9
336
  constant ctrl_ir_funct12_10_c : natural := 61; -- funct12 bit 10
337
  constant ctrl_ir_funct12_11_c : natural := 62; -- funct12 bit 11
338
  constant ctrl_ir_opcode7_0_c  : natural := 63; -- opcode7 bit 0
339
  constant ctrl_ir_opcode7_1_c  : natural := 64; -- opcode7 bit 1
340
  constant ctrl_ir_opcode7_2_c  : natural := 65; -- opcode7 bit 2
341
  constant ctrl_ir_opcode7_3_c  : natural := 66; -- opcode7 bit 3
342
  constant ctrl_ir_opcode7_4_c  : natural := 67; -- opcode7 bit 4
343
  constant ctrl_ir_opcode7_5_c  : natural := 68; -- opcode7 bit 5
344
  constant ctrl_ir_opcode7_6_c  : natural := 69; -- opcode7 bit 6
345 47 zero_gravi
  -- CPU status --
346 62 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 70; -- privilege level lsb
347
  constant ctrl_priv_lvl_msb_c  : natural := 71; -- privilege level msb
348
  constant ctrl_sleep_c         : natural := 72; -- set when CPU is in sleep mode
349
  constant ctrl_trap_c          : natural := 73; -- set when CPU is entering trap execution
350
  constant ctrl_debug_running_c : natural := 74; -- CPU is in debug mode when set
351 2 zero_gravi
  -- control bus size --
352 62 zero_gravi
  constant ctrl_width_c         : natural := 75; -- control bus size
353 2 zero_gravi
 
354 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
355 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
356 47 zero_gravi
  constant cmp_equal_c : natural := 0;
357
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
358 2 zero_gravi
 
359
  -- RISC-V Opcode Layout -------------------------------------------------------------------
360
  -- -------------------------------------------------------------------------------------------
361
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
362
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
363
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
364
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
365
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
366
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
367
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
368
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
369
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
370
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
371
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
372
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
373
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
374
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
375
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
376
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
377
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
378
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
379
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
380
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
381 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
382
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
383 2 zero_gravi
 
384
  -- RISC-V Opcodes -------------------------------------------------------------------------
385
  -- -------------------------------------------------------------------------------------------
386
  -- alu --
387
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
388
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
389
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
390
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
391
  -- control flow --
392
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
393 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
394 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
395
  -- memory access --
396
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
397
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
398
  -- system/csr --
399 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
400 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
401 52 zero_gravi
  -- atomic memory access (A) --
402 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
403 53 zero_gravi
  -- floating point operations (Zfinx-only) (F/D/H/Q) --
404
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single opearand instruction
405 2 zero_gravi
 
406
  -- RISC-V Funct3 --------------------------------------------------------------------------
407
  -- -------------------------------------------------------------------------------------------
408
  -- control flow --
409
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
410
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
411
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
412
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
413
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
414
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
415
  -- memory access --
416
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
417
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
418
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
419
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
420
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
421
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
422
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
423
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
424
  -- alu --
425
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
426
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
427
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
428
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
429
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
430
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
431
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
432
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
433
  -- system/csr --
434 59 zero_gravi
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi, ...
435 2 zero_gravi
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
436
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
437
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
438
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
439
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
440
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
441 8 zero_gravi
  -- fence --
442
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
443
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instructon stream sync
444 2 zero_gravi
 
445 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
446 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
447
  -- system --
448
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
449
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
450
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
451
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
452 59 zero_gravi
  constant funct12_dret_c   : std_ulogic_vector(11 downto 0) := x"7b2"; -- DRET
453 11 zero_gravi
 
454 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
455
  -- -------------------------------------------------------------------------------------------
456
  -- atomic operations --
457
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
458
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
459
 
460 54 zero_gravi
  -- RISC-V Floating-Point Stuff ------------------------------------------------------------
461 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
462 54 zero_gravi
  -- formats --
463
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precision (32-bit)
464
  constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precision (64-bit)
465
  constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precision (16-bit)
466
  constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precision (128-bit)
467 52 zero_gravi
 
468 54 zero_gravi
  -- number class flags --
469
  constant fp_class_neg_inf_c    : natural := 0; -- negative infinity
470
  constant fp_class_neg_norm_c   : natural := 1; -- negative normal number
471
  constant fp_class_neg_denorm_c : natural := 2; -- negative subnormal number
472
  constant fp_class_neg_zero_c   : natural := 3; -- negative zero
473
  constant fp_class_pos_zero_c   : natural := 4; -- positive zero
474
  constant fp_class_pos_denorm_c : natural := 5; -- positive subnormal number
475
  constant fp_class_pos_norm_c   : natural := 6; -- positive normal number
476
  constant fp_class_pos_inf_c    : natural := 7; -- positive infinity
477
  constant fp_class_snan_c       : natural := 8; -- signaling NaN (sNaN)
478
  constant fp_class_qnan_c       : natural := 9; -- quiet NaN (qNaN)
479
 
480
  -- exception flags --
481
  constant fp_exc_nv_c : natural := 0; -- invalid operation
482
  constant fp_exc_dz_c : natural := 1; -- divide by zero
483
  constant fp_exc_of_c : natural := 2; -- overflow
484
  constant fp_exc_uf_c : natural := 3; -- underflow
485
  constant fp_exc_nx_c : natural := 4; -- inexact
486
 
487
  -- special values (single-precision) --
488
  constant fp_single_qnan_c     : std_ulogic_vector(31 downto 0) := x"7fc00000"; -- quiet NaN
489
  constant fp_single_snan_c     : std_ulogic_vector(31 downto 0) := x"7fa00000"; -- signaling NaN
490
  constant fp_single_pos_inf_c  : std_ulogic_vector(31 downto 0) := x"7f800000"; -- positive infinity
491
  constant fp_single_neg_inf_c  : std_ulogic_vector(31 downto 0) := x"ff800000"; -- negative infinity
492
  constant fp_single_pos_zero_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- positive zero
493
  constant fp_single_neg_zero_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- negative zero
494
 
495 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
496
  -- -------------------------------------------------------------------------------------------
497 56 zero_gravi
  -- <<< standard read/write CSRs >>> --
498
  -- user floating-point CSRs --
499 52 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(07 downto 0) := x"00"; -- floating point
500
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
501
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
502
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
503 56 zero_gravi
  -- machine trap setup --
504
  constant csr_class_setup_c    : std_ulogic_vector(07 downto 0) := x"30"; -- trap setup
505 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
506
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
507
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
508
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
509
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
510 62 zero_gravi
  --
511
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
512 56 zero_gravi
  -- machine counter setup --
513
  constant csr_cnt_setup_c      : std_ulogic_vector(06 downto 0) := x"3" & "001"; -- counter setup
514 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
515
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
516
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
517
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
518
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
519
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
520
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
521
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
522
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
523
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
524
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
525
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
526
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
527
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
528
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
529
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
530
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
531
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
532
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
533
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
534
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
535
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
536
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
537
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
538
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
539
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
540
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
541
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
542
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
543
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
544 56 zero_gravi
  -- machine trap handling --
545 52 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
546 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
547
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
548
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
549
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
550
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
551 56 zero_gravi
  -- physical memory protection - configuration --
552 52 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(07 downto 0) := x"3a"; -- pmp configuration
553 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
554
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
555
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
556
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
557
  constant csr_pmpcfg4_c        : std_ulogic_vector(11 downto 0) := x"3a4";
558
  constant csr_pmpcfg5_c        : std_ulogic_vector(11 downto 0) := x"3a5";
559
  constant csr_pmpcfg6_c        : std_ulogic_vector(11 downto 0) := x"3a6";
560
  constant csr_pmpcfg7_c        : std_ulogic_vector(11 downto 0) := x"3a7";
561
  constant csr_pmpcfg8_c        : std_ulogic_vector(11 downto 0) := x"3a8";
562
  constant csr_pmpcfg9_c        : std_ulogic_vector(11 downto 0) := x"3a9";
563
  constant csr_pmpcfg10_c       : std_ulogic_vector(11 downto 0) := x"3aa";
564
  constant csr_pmpcfg11_c       : std_ulogic_vector(11 downto 0) := x"3ab";
565
  constant csr_pmpcfg12_c       : std_ulogic_vector(11 downto 0) := x"3ac";
566
  constant csr_pmpcfg13_c       : std_ulogic_vector(11 downto 0) := x"3ad";
567
  constant csr_pmpcfg14_c       : std_ulogic_vector(11 downto 0) := x"3ae";
568
  constant csr_pmpcfg15_c       : std_ulogic_vector(11 downto 0) := x"3af";
569 56 zero_gravi
  -- physical memory protection - address --
570 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
571
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
572
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
573
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
574
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
575
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
576
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
577
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
578
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
579
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
580
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
581
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
582
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
583
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
584
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
585
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
586
  constant csr_pmpaddr16_c      : std_ulogic_vector(11 downto 0) := x"3c0";
587
  constant csr_pmpaddr17_c      : std_ulogic_vector(11 downto 0) := x"3c1";
588
  constant csr_pmpaddr18_c      : std_ulogic_vector(11 downto 0) := x"3c2";
589
  constant csr_pmpaddr19_c      : std_ulogic_vector(11 downto 0) := x"3c3";
590
  constant csr_pmpaddr20_c      : std_ulogic_vector(11 downto 0) := x"3c4";
591
  constant csr_pmpaddr21_c      : std_ulogic_vector(11 downto 0) := x"3c5";
592
  constant csr_pmpaddr22_c      : std_ulogic_vector(11 downto 0) := x"3c6";
593
  constant csr_pmpaddr23_c      : std_ulogic_vector(11 downto 0) := x"3c7";
594
  constant csr_pmpaddr24_c      : std_ulogic_vector(11 downto 0) := x"3c8";
595
  constant csr_pmpaddr25_c      : std_ulogic_vector(11 downto 0) := x"3c9";
596
  constant csr_pmpaddr26_c      : std_ulogic_vector(11 downto 0) := x"3ca";
597
  constant csr_pmpaddr27_c      : std_ulogic_vector(11 downto 0) := x"3cb";
598
  constant csr_pmpaddr28_c      : std_ulogic_vector(11 downto 0) := x"3cc";
599
  constant csr_pmpaddr29_c      : std_ulogic_vector(11 downto 0) := x"3cd";
600
  constant csr_pmpaddr30_c      : std_ulogic_vector(11 downto 0) := x"3ce";
601
  constant csr_pmpaddr31_c      : std_ulogic_vector(11 downto 0) := x"3cf";
602
  constant csr_pmpaddr32_c      : std_ulogic_vector(11 downto 0) := x"3d0";
603
  constant csr_pmpaddr33_c      : std_ulogic_vector(11 downto 0) := x"3d1";
604
  constant csr_pmpaddr34_c      : std_ulogic_vector(11 downto 0) := x"3d2";
605
  constant csr_pmpaddr35_c      : std_ulogic_vector(11 downto 0) := x"3d3";
606
  constant csr_pmpaddr36_c      : std_ulogic_vector(11 downto 0) := x"3d4";
607
  constant csr_pmpaddr37_c      : std_ulogic_vector(11 downto 0) := x"3d5";
608
  constant csr_pmpaddr38_c      : std_ulogic_vector(11 downto 0) := x"3d6";
609
  constant csr_pmpaddr39_c      : std_ulogic_vector(11 downto 0) := x"3d7";
610
  constant csr_pmpaddr40_c      : std_ulogic_vector(11 downto 0) := x"3d8";
611
  constant csr_pmpaddr41_c      : std_ulogic_vector(11 downto 0) := x"3d9";
612
  constant csr_pmpaddr42_c      : std_ulogic_vector(11 downto 0) := x"3da";
613
  constant csr_pmpaddr43_c      : std_ulogic_vector(11 downto 0) := x"3db";
614
  constant csr_pmpaddr44_c      : std_ulogic_vector(11 downto 0) := x"3dc";
615
  constant csr_pmpaddr45_c      : std_ulogic_vector(11 downto 0) := x"3dd";
616
  constant csr_pmpaddr46_c      : std_ulogic_vector(11 downto 0) := x"3de";
617
  constant csr_pmpaddr47_c      : std_ulogic_vector(11 downto 0) := x"3df";
618
  constant csr_pmpaddr48_c      : std_ulogic_vector(11 downto 0) := x"3e0";
619
  constant csr_pmpaddr49_c      : std_ulogic_vector(11 downto 0) := x"3e1";
620
  constant csr_pmpaddr50_c      : std_ulogic_vector(11 downto 0) := x"3e2";
621
  constant csr_pmpaddr51_c      : std_ulogic_vector(11 downto 0) := x"3e3";
622
  constant csr_pmpaddr52_c      : std_ulogic_vector(11 downto 0) := x"3e4";
623
  constant csr_pmpaddr53_c      : std_ulogic_vector(11 downto 0) := x"3e5";
624
  constant csr_pmpaddr54_c      : std_ulogic_vector(11 downto 0) := x"3e6";
625
  constant csr_pmpaddr55_c      : std_ulogic_vector(11 downto 0) := x"3e7";
626
  constant csr_pmpaddr56_c      : std_ulogic_vector(11 downto 0) := x"3e8";
627
  constant csr_pmpaddr57_c      : std_ulogic_vector(11 downto 0) := x"3e9";
628
  constant csr_pmpaddr58_c      : std_ulogic_vector(11 downto 0) := x"3ea";
629
  constant csr_pmpaddr59_c      : std_ulogic_vector(11 downto 0) := x"3eb";
630
  constant csr_pmpaddr60_c      : std_ulogic_vector(11 downto 0) := x"3ec";
631
  constant csr_pmpaddr61_c      : std_ulogic_vector(11 downto 0) := x"3ed";
632
  constant csr_pmpaddr62_c      : std_ulogic_vector(11 downto 0) := x"3ee";
633
  constant csr_pmpaddr63_c      : std_ulogic_vector(11 downto 0) := x"3ef";
634 59 zero_gravi
  -- debug mode registers --
635
  constant csr_class_debug_c    : std_ulogic_vector(09 downto 0) := x"7b" & "00"; -- debug registers
636
  constant csr_dcsr_c           : std_ulogic_vector(11 downto 0) := x"7b0";
637
  constant csr_dpc_c            : std_ulogic_vector(11 downto 0) := x"7b1";
638
  constant csr_dscratch0_c      : std_ulogic_vector(11 downto 0) := x"7b2";
639 56 zero_gravi
  -- machine counters/timers --
640 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
641
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
642
  --
643
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
644
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
645
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
646
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
647
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
648
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
649
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
650
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
651
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
652
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
653
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
654
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
655
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
656
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
657
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
658
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
659
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
660
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
661
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
662
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
663
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
664
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
665
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
666
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
667
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
668
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
669
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
670
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
671
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
672
  --
673
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
674
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
675
  --
676
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
677
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
678
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
679
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
680
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
681
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
682
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
683
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
684
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
685
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
686
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
687
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
688
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
689
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
690
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
691
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
692
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
693
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
694
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
695
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
696
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
697
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
698
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
699
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
700
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
701
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
702
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
703
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
704
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
705
 
706 56 zero_gravi
  -- <<< standard read-only CSRs >>> --
707
  -- user counters/timers --
708 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
709
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
710
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
711 29 zero_gravi
  --
712 42 zero_gravi
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
713
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
714
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
715 56 zero_gravi
  -- machine information registers --
716 42 zero_gravi
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
717
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
718
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
719
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
720 62 zero_gravi
  constant csr_mconfigptr_c     : std_ulogic_vector(11 downto 0) := x"f15";
721 56 zero_gravi
  -- <<< custom (NEORV32-specific) read-only CSRs >>> --
722 42 zero_gravi
  constant csr_mzext_c          : std_ulogic_vector(11 downto 0) := x"fc0";
723
 
724 44 zero_gravi
  -- Co-Processor IDs -----------------------------------------------------------------------
725 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
726 61 zero_gravi
  constant cp_sel_shifter_c  : std_ulogic_vector(1 downto 0) := "00"; -- shift operation
727
  constant cp_sel_muldiv_c   : std_ulogic_vector(1 downto 0) := "01"; -- multiplication/division operations ('M' extension)
728
--constant cp_sel_bitmanip_c : std_ulogic_vector(1 downto 0) := "10"; -- bit manipulation ('B' extension)
729
  constant cp_sel_fpu_c      : std_ulogic_vector(1 downto 0) := "11"; -- floating-point unit ('Zfinx' extension)
730 2 zero_gravi
 
731
  -- ALU Function Codes ---------------------------------------------------------------------
732
  -- -------------------------------------------------------------------------------------------
733 39 zero_gravi
  -- arithmetic core --
734
  constant alu_arith_cmd_addsub_c : std_ulogic := '0'; -- r.arith <= A +/- B
735
  constant alu_arith_cmd_slt_c    : std_ulogic := '1'; -- r.arith <= A < B
736
  -- logic core --
737
  constant alu_logic_cmd_movb_c   : std_ulogic_vector(1 downto 0) := "00"; -- r.logic <= B
738
  constant alu_logic_cmd_xor_c    : std_ulogic_vector(1 downto 0) := "01"; -- r.logic <= A xor B
739
  constant alu_logic_cmd_or_c     : std_ulogic_vector(1 downto 0) := "10"; -- r.logic <= A or B
740
  constant alu_logic_cmd_and_c    : std_ulogic_vector(1 downto 0) := "11"; -- r.logic <= A and B
741
  -- function select (actual alu result) --
742
  constant alu_func_cmd_arith_c   : std_ulogic_vector(1 downto 0) := "00"; -- r <= r.arith
743
  constant alu_func_cmd_logic_c   : std_ulogic_vector(1 downto 0) := "01"; -- r <= r.logic
744 61 zero_gravi
  constant alu_func_cmd_csrr_c    : std_ulogic_vector(1 downto 0) := "10"; -- r <= CSR read
745 60 zero_gravi
  constant alu_func_cmd_copro_c   : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (multi-cycle)
746 2 zero_gravi
 
747 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
748
  -- -------------------------------------------------------------------------------------------
749 60 zero_gravi
  -- MSB   : 1 = async exception (IRQ); 0 = sync exception (e.g. ebreak)
750 59 zero_gravi
  -- MSB-1 : 1 = entry to debug mode; 0 = normal trapping
751 48 zero_gravi
  -- RISC-V compliant sync. exceptions --
752 59 zero_gravi
  constant trap_ima_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00000"; -- 0.0:  instruction misaligned
753
  constant trap_iba_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00001"; -- 0.1:  instruction access fault
754
  constant trap_iil_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00010"; -- 0.2:  illegal instruction
755
  constant trap_brk_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00011"; -- 0.3:  breakpoint
756
  constant trap_lma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00100"; -- 0.4:  load address misaligned
757
  constant trap_lbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00101"; -- 0.5:  load access fault
758
  constant trap_sma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00110"; -- 0.6:  store address misaligned
759
  constant trap_sbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00111"; -- 0.7:  store access fault
760
  constant trap_uenv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01000"; -- 0.8:  environment call from u-mode
761
  constant trap_menv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01011"; -- 0.11: environment call from m-mode
762 48 zero_gravi
  -- RISC-V compliant interrupts (async. exceptions) --
763 59 zero_gravi
  constant trap_nmi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00000"; -- 1.0:  non-maskable interrupt
764
  constant trap_msi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00011"; -- 1.3:  machine software interrupt
765
  constant trap_mti_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00111"; -- 1.7:  machine timer interrupt
766
  constant trap_mei_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "01011"; -- 1.11: machine external interrupt
767 48 zero_gravi
  -- NEORV32-specific (custom) interrupts (async. exceptions) --
768 59 zero_gravi
  constant trap_firq0_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10000"; -- 1.16: fast interrupt 0
769
  constant trap_firq1_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10001"; -- 1.17: fast interrupt 1
770
  constant trap_firq2_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10010"; -- 1.18: fast interrupt 2
771
  constant trap_firq3_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10011"; -- 1.19: fast interrupt 3
772
  constant trap_firq4_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10100"; -- 1.20: fast interrupt 4
773
  constant trap_firq5_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10101"; -- 1.21: fast interrupt 5
774
  constant trap_firq6_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10110"; -- 1.22: fast interrupt 6
775
  constant trap_firq7_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10111"; -- 1.23: fast interrupt 7
776
  constant trap_firq8_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11000"; -- 1.24: fast interrupt 8
777
  constant trap_firq9_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11001"; -- 1.25: fast interrupt 9
778
  constant trap_firq10_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11010"; -- 1.26: fast interrupt 10
779
  constant trap_firq11_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11011"; -- 1.27: fast interrupt 11
780
  constant trap_firq12_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11100"; -- 1.28: fast interrupt 12
781
  constant trap_firq13_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11101"; -- 1.29: fast interrupt 13
782
  constant trap_firq14_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11110"; -- 1.30: fast interrupt 14
783
  constant trap_firq15_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11111"; -- 1.31: fast interrupt 15
784
  -- entering debug mode - cause --
785
  constant trap_db_break_c : std_ulogic_vector(6 downto 0) := "0" & "1" & "00010"; -- break instruction (sync / EXCEPTION)
786
  constant trap_db_halt_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00011"; -- external halt request (async / IRQ)
787
  constant trap_db_step_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00100"; -- single-stepping (async / IRQ)
788 12 zero_gravi
 
789 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
790
  -- -------------------------------------------------------------------------------------------
791
  -- exception source bits --
792 59 zero_gravi
  constant exception_iaccess_c   : natural :=  0; -- instruction access fault
793
  constant exception_iillegal_c  : natural :=  1; -- illegal instruction
794
  constant exception_ialign_c    : natural :=  2; -- instruction address misaligned
795 47 zero_gravi
  constant exception_m_envcall_c : natural :=  3; -- ENV call from m-mode
796
  constant exception_u_envcall_c : natural :=  4; -- ENV call from u-mode
797
  constant exception_break_c     : natural :=  5; -- breakpoint
798
  constant exception_salign_c    : natural :=  6; -- store address misaligned
799
  constant exception_lalign_c    : natural :=  7; -- load address misaligned
800
  constant exception_saccess_c   : natural :=  8; -- store access fault
801
  constant exception_laccess_c   : natural :=  9; -- load access fault
802 59 zero_gravi
  -- for debug mode only --
803
  constant exception_db_break_c  : natural := 10; -- enter debug mode via ebreak instruction ("sync EXCEPTION")
804 14 zero_gravi
  --
805 59 zero_gravi
  constant exception_width_c     : natural := 11; -- length of this list in bits
806 2 zero_gravi
  -- interrupt source bits --
807 58 zero_gravi
  constant interrupt_nm_irq_c    : natural :=  0; -- non-maskable interrupt
808
  constant interrupt_msw_irq_c   : natural :=  1; -- machine software interrupt
809
  constant interrupt_mtime_irq_c : natural :=  2; -- machine timer interrupt
810
  constant interrupt_mext_irq_c  : natural :=  3; -- machine external interrupt
811
  constant interrupt_firq_0_c    : natural :=  4; -- fast interrupt channel 0
812
  constant interrupt_firq_1_c    : natural :=  5; -- fast interrupt channel 1
813
  constant interrupt_firq_2_c    : natural :=  6; -- fast interrupt channel 2
814
  constant interrupt_firq_3_c    : natural :=  7; -- fast interrupt channel 3
815
  constant interrupt_firq_4_c    : natural :=  8; -- fast interrupt channel 4
816
  constant interrupt_firq_5_c    : natural :=  9; -- fast interrupt channel 5
817
  constant interrupt_firq_6_c    : natural := 10; -- fast interrupt channel 6
818
  constant interrupt_firq_7_c    : natural := 11; -- fast interrupt channel 7
819
  constant interrupt_firq_8_c    : natural := 12; -- fast interrupt channel 8
820
  constant interrupt_firq_9_c    : natural := 13; -- fast interrupt channel 9
821
  constant interrupt_firq_10_c   : natural := 14; -- fast interrupt channel 10
822
  constant interrupt_firq_11_c   : natural := 15; -- fast interrupt channel 11
823
  constant interrupt_firq_12_c   : natural := 16; -- fast interrupt channel 12
824
  constant interrupt_firq_13_c   : natural := 17; -- fast interrupt channel 13
825
  constant interrupt_firq_14_c   : natural := 18; -- fast interrupt channel 14
826
  constant interrupt_firq_15_c   : natural := 19; -- fast interrupt channel 15
827 59 zero_gravi
  -- for debug mode only --
828
  constant interrupt_db_halt_c   : natural := 20; -- enter debug mode via external halt request ("async IRQ")
829
  constant interrupt_db_step_c   : natural := 21; -- enter debug mode via single-stepping ("async IRQ")
830 14 zero_gravi
  --
831 59 zero_gravi
  constant interrupt_width_c     : natural := 22; -- length of this list in bits
832 2 zero_gravi
 
833 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
834
  -- -------------------------------------------------------------------------------------------
835 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
836
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
837 15 zero_gravi
 
838 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
839
  -- -------------------------------------------------------------------------------------------
840
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
841 56 zero_gravi
  constant hpmcnt_event_never_c   : natural := 1;  -- Unused / never (actually, this would be used for TIME)
842 42 zero_gravi
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
843
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
844
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
845
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
846 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
847
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
848
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
849
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
850
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
851
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
852
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
853
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
854
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
855 42 zero_gravi
  --
856 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
857 42 zero_gravi
 
858 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
859 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
860
  constant clk_div2_c    : natural := 0;
861
  constant clk_div4_c    : natural := 1;
862
  constant clk_div8_c    : natural := 2;
863
  constant clk_div64_c   : natural := 3;
864
  constant clk_div128_c  : natural := 4;
865
  constant clk_div1024_c : natural := 5;
866
  constant clk_div2048_c : natural := 6;
867
  constant clk_div4096_c : natural := 7;
868
 
869
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
870
  -- -------------------------------------------------------------------------------------------
871
  component neorv32_top
872
    generic (
873
      -- General --
874 62 zero_gravi
      CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
875 12 zero_gravi
      USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
876 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
877 62 zero_gravi
      INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
878 59 zero_gravi
      -- On-Chip Debugger (OCD) --
879
      ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
880 2 zero_gravi
      -- RISC-V CPU Extensions --
881 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
882 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
883 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
884 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
885 18 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
886 57 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
887 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
888 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
889 62 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
890 19 zero_gravi
      -- Extension Options --
891 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
892
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
893 56 zero_gravi
      CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
894 62 zero_gravi
      CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
895 15 zero_gravi
      -- Physical Memory Protection (PMP) --
896 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
897
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
898
      -- Hardware Performance Monitors (HPM) --
899 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
900 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
901 61 zero_gravi
      -- Internal Instruction memory (IMEM) --
902 62 zero_gravi
      MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
903 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
904 61 zero_gravi
      -- Internal Data memory (DMEM) --
905 62 zero_gravi
      MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
906 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
907 61 zero_gravi
      -- Internal Cache memory (iCACHE) --
908 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
909 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
910
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
911 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
912 61 zero_gravi
      -- External memory interface (WISHBONE) --
913 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
914 57 zero_gravi
      MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
915 62 zero_gravi
      MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
916
      MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
917
      MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
918 61 zero_gravi
      -- Stream link interface (SLINK) --
919
      SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
920
      SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
921
      SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
922
      SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
923
      -- External Interrupts Controller (XIRQ) --
924
      XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
925 62 zero_gravi
      XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
926
      XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
927 2 zero_gravi
      -- Processor peripherals --
928 62 zero_gravi
      IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
929
      IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
930
      IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
931
      IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
932
      IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
933
      IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
934
      IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
935
      IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
936 44 zero_gravi
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
937 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
938 56 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
939 52 zero_gravi
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
940
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
941 62 zero_gravi
      IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
942
      IO_NEOLED_TX_FIFO            : natural := 1       -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
943 2 zero_gravi
    );
944
    port (
945
      -- Global control --
946 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
947
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
948 59 zero_gravi
      -- JTAG on-chip debugger interface --
949 62 zero_gravi
      jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
950
      jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
951
      jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
952 61 zero_gravi
      jtag_tdo_o     : out std_ulogic;        -- serial data output
953 62 zero_gravi
      jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
954 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
955 61 zero_gravi
      wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
956
      wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
957 62 zero_gravi
      wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
958 61 zero_gravi
      wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
959
      wb_we_o        : out std_ulogic; -- read/write
960
      wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
961
      wb_stb_o       : out std_ulogic; -- strobe
962
      wb_cyc_o       : out std_ulogic; -- valid cycle
963
      wb_lock_o      : out std_ulogic; -- exclusive access request
964 62 zero_gravi
      wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
965
      wb_err_i       : in  std_ulogic := 'L'; -- transfer error
966 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
967 61 zero_gravi
      fence_o        : out std_ulogic; -- indicates an executed FENCE operation
968
      fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
969
      -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
970
      slink_tx_dat_o : out sdata_8x32_t; -- output data
971
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
972 62 zero_gravi
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
973 61 zero_gravi
      -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
974 62 zero_gravi
      slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
975
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
976 61 zero_gravi
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
977 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
978 61 zero_gravi
      gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
979 62 zero_gravi
      gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
980 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
981 61 zero_gravi
      uart0_txd_o    : out std_ulogic; -- UART0 send data
982 62 zero_gravi
      uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
983 61 zero_gravi
      uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
984 62 zero_gravi
      uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
985 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
986 61 zero_gravi
      uart1_txd_o    : out std_ulogic; -- UART1 send data
987 62 zero_gravi
      uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
988 61 zero_gravi
      uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
989 62 zero_gravi
      uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
990 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
991 61 zero_gravi
      spi_sck_o      : out std_ulogic; -- SPI serial clock
992
      spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
993 62 zero_gravi
      spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
994 61 zero_gravi
      spi_csn_o      : out std_ulogic_vector(07 downto 0); -- SPI CS
995 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
996 62 zero_gravi
      twi_sda_io     : inout std_logic := 'U'; -- twi serial data line
997
      twi_scl_io     : inout std_logic := 'U'; -- twi serial clock line
998 60 zero_gravi
      -- PWM (available if IO_PWM_NUM_CH > 0) --
999 61 zero_gravi
      pwm_o          : out std_ulogic_vector(IO_PWM_NUM_CH-1 downto 0); -- pwm channels
1000 47 zero_gravi
      -- Custom Functions Subsystem IO --
1001 62 zero_gravi
      cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
1002 61 zero_gravi
      cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
1003 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
1004 61 zero_gravi
      neoled_o       : out std_ulogic; -- async serial data line
1005 59 zero_gravi
      -- System time --
1006 62 zero_gravi
      mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
1007 61 zero_gravi
      mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
1008
      -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
1009 62 zero_gravi
      xirq_i         : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0) := (others => 'L'); -- IRQ channels
1010 61 zero_gravi
      -- CPU Interrupts --
1011 62 zero_gravi
      nm_irq_i       : in  std_ulogic := 'L'; -- non-maskable interrupt
1012
      mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
1013
      msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
1014
      mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
1015 2 zero_gravi
    );
1016
  end component;
1017
 
1018 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
1019
  -- -------------------------------------------------------------------------------------------
1020
  component neorv32_cpu
1021
    generic (
1022
      -- General --
1023 62 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1024
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1025
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1026 4 zero_gravi
      -- RISC-V CPU Extensions --
1027 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1028
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1029
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1030
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1031
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1032
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1033
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1034
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1035
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1036
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1037 19 zero_gravi
      -- Extension Options --
1038 62 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1039
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1040
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1041
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1042 15 zero_gravi
      -- Physical Memory Protection (PMP) --
1043 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1044
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1045 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1046 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1047
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1048 4 zero_gravi
    );
1049
    port (
1050
      -- global control --
1051 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1052
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
1053 47 zero_gravi
      sleep_o        : out std_ulogic; -- cpu is in sleep mode when set
1054 12 zero_gravi
      -- instruction bus interface --
1055
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1056 62 zero_gravi
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1057 12 zero_gravi
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1058
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1059
      i_bus_we_o     : out std_ulogic; -- write enable
1060
      i_bus_re_o     : out std_ulogic; -- read enable
1061 57 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- exclusive access request
1062 62 zero_gravi
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1063
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
1064 12 zero_gravi
      i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
1065 35 zero_gravi
      i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1066 12 zero_gravi
      -- data bus interface --
1067
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1068 62 zero_gravi
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1069 12 zero_gravi
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1070
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1071
      d_bus_we_o     : out std_ulogic; -- write enable
1072
      d_bus_re_o     : out std_ulogic; -- read enable
1073 57 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- exclusive access request
1074 62 zero_gravi
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1075
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
1076 12 zero_gravi
      d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
1077 35 zero_gravi
      d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
1078 11 zero_gravi
      -- system time input from MTIME --
1079 62 zero_gravi
      time_i         : in  std_ulogic_vector(63 downto 0); -- current system time
1080 58 zero_gravi
      -- non-maskable interrupt --
1081 62 zero_gravi
      nm_irq_i       : in  std_ulogic; -- NMI
1082 14 zero_gravi
      -- interrupts (risc-v compliant) --
1083 62 zero_gravi
      msw_irq_i      : in  std_ulogic; -- machine software interrupt
1084
      mext_irq_i     : in  std_ulogic; -- machine external interrupt
1085
      mtime_irq_i    : in  std_ulogic; -- machine timer interrupt
1086 14 zero_gravi
      -- fast interrupts (custom) --
1087 62 zero_gravi
      firq_i         : in  std_ulogic_vector(15 downto 0);
1088 59 zero_gravi
      -- debug mode (halt) request --
1089 62 zero_gravi
      db_halt_req_i  : in  std_ulogic
1090 4 zero_gravi
    );
1091
  end component;
1092
 
1093 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1094
  -- -------------------------------------------------------------------------------------------
1095
  component neorv32_cpu_control
1096
    generic (
1097
      -- General --
1098 62 zero_gravi
      HW_THREAD_ID                 : natural;     -- hardware thread id (32-bit)
1099
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1100
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1101 2 zero_gravi
      -- RISC-V CPU Extensions --
1102 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1103
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1104
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1105
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1106
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1107
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1108
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1109
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1110
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1111
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1112 56 zero_gravi
      -- Extension Options --
1113 62 zero_gravi
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1114
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1115 15 zero_gravi
      -- Physical memory protection (PMP) --
1116 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1117
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1118 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1119 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1120
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1121 2 zero_gravi
    );
1122
    port (
1123
      -- global control --
1124
      clk_i         : in  std_ulogic; -- global clock, rising edge
1125
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1126
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1127
      -- status input --
1128 61 zero_gravi
      alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
1129 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1130
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1131 57 zero_gravi
      excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
1132 2 zero_gravi
      -- data input --
1133
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1134
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1135 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1136 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1137 2 zero_gravi
      -- data output --
1138
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1139 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1140
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1141 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1142 52 zero_gravi
      -- FPU interface --
1143
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1144 59 zero_gravi
      -- debug mode (halt) request --
1145
      db_halt_req_i : in  std_ulogic;
1146 58 zero_gravi
      -- non-maskable interrupt --
1147
      nm_irq_i      : in  std_ulogic;
1148 14 zero_gravi
      -- interrupts (risc-v compliant) --
1149
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1150
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1151 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1152 14 zero_gravi
      -- fast interrupts (custom) --
1153 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1154 11 zero_gravi
      -- system time input from MTIME --
1155
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1156 15 zero_gravi
      -- physical memory protection --
1157
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1158
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1159 2 zero_gravi
      -- bus access exceptions --
1160
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1161
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1162
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1163
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1164
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1165
      be_load_i     : in  std_ulogic; -- bus error on load data access
1166 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1167 2 zero_gravi
    );
1168
  end component;
1169
 
1170
  -- Component: CPU Register File -----------------------------------------------------------
1171
  -- -------------------------------------------------------------------------------------------
1172
  component neorv32_cpu_regfile
1173
    generic (
1174 62 zero_gravi
      CPU_EXTENSION_RISCV_E : boolean -- implement embedded RF extension?
1175 2 zero_gravi
    );
1176
    port (
1177
      -- global control --
1178
      clk_i  : in  std_ulogic; -- global clock, rising edge
1179
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1180
      -- data input --
1181
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1182
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1183
      -- data output --
1184
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1185 47 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 2
1186
      cmp_o  : out std_ulogic_vector(1 downto 0) -- comparator status
1187 2 zero_gravi
    );
1188
  end component;
1189
 
1190
  -- Component: CPU ALU ---------------------------------------------------------------------
1191
  -- -------------------------------------------------------------------------------------------
1192
  component neorv32_cpu_alu
1193 11 zero_gravi
    generic (
1194 61 zero_gravi
      -- RISC-V CPU Extensions --
1195 62 zero_gravi
      CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
1196
      CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
1197
      CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1198 61 zero_gravi
      -- Extension Options --
1199 62 zero_gravi
      FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
1200
      FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
1201 11 zero_gravi
    );
1202 2 zero_gravi
    port (
1203
      -- global control --
1204
      clk_i       : in  std_ulogic; -- global clock, rising edge
1205
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1206
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1207
      -- data input --
1208
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1209
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1210
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- delayed PC
1211
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1212 61 zero_gravi
      csr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1213
      cmp_i       : in  std_ulogic_vector(1 downto 0); -- comparator status
1214 2 zero_gravi
      -- data output --
1215
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1216 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1217 61 zero_gravi
      fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
1218 2 zero_gravi
      -- status --
1219 61 zero_gravi
      idone_o     : out std_ulogic -- iterative processing units done?
1220 2 zero_gravi
    );
1221
  end component;
1222
 
1223 61 zero_gravi
  -- Component: CPU Co-Processor SHIFTER ----------------------------------------------------
1224
  -- -------------------------------------------------------------------------------------------
1225
  component neorv32_cpu_cp_shifter
1226
    generic (
1227 62 zero_gravi
      FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations
1228 61 zero_gravi
    );
1229
    port (
1230
      -- global control --
1231
      clk_i   : in  std_ulogic; -- global clock, rising edge
1232
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1233
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1234
      start_i : in  std_ulogic; -- trigger operation
1235
      -- data input --
1236
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1237
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1238
      imm_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1239
      -- result and status --
1240
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1241
      valid_o : out std_ulogic -- data output valid
1242
    );
1243
  end component;
1244
 
1245 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1246 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1247
  component neorv32_cpu_cp_muldiv
1248 19 zero_gravi
    generic (
1249 62 zero_gravi
      FAST_MUL_EN : boolean; -- use DSPs for faster multiplication
1250
      DIVISION_EN : boolean  -- implement divider hardware
1251 19 zero_gravi
    );
1252 2 zero_gravi
    port (
1253
      -- global control --
1254
      clk_i   : in  std_ulogic; -- global clock, rising edge
1255
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1256
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1257 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1258 2 zero_gravi
      -- data input --
1259
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1260
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1261
      -- result and status --
1262
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1263
      valid_o : out std_ulogic -- data output valid
1264
    );
1265
  end component;
1266
 
1267 53 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) -----------------------------
1268 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1269
  component neorv32_cpu_cp_fpu
1270
    port (
1271
      -- global control --
1272 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock, rising edge
1273
      rstn_i   : in  std_ulogic; -- global reset, low-active, async
1274
      ctrl_i   : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1275
      start_i  : in  std_ulogic; -- trigger operation
1276 52 zero_gravi
      -- data input --
1277 56 zero_gravi
      cmp_i    : in  std_ulogic_vector(1 downto 0); -- comparator status
1278 53 zero_gravi
      rs1_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1279
      rs2_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1280 52 zero_gravi
      -- result and status --
1281 53 zero_gravi
      res_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1282
      fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags
1283
      valid_o  : out std_ulogic -- data output valid
1284 52 zero_gravi
    );
1285
  end component;
1286
 
1287 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1288
  -- -------------------------------------------------------------------------------------------
1289
  component neorv32_cpu_bus
1290
    generic (
1291 62 zero_gravi
      CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension?
1292
      CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension?
1293 15 zero_gravi
      -- Physical memory protection (PMP) --
1294 62 zero_gravi
      PMP_NUM_REGIONS       : natural; -- number of regions (0..64)
1295
      PMP_MIN_GRANULARITY   : natural  -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1296 2 zero_gravi
    );
1297
    port (
1298
      -- global control --
1299 12 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1300 38 zero_gravi
      rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
1301 12 zero_gravi
      ctrl_i         : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1302
      -- cpu instruction fetch interface --
1303
      fetch_pc_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1304
      instr_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1305
      i_wait_o       : out std_ulogic; -- wait for fetch to complete
1306
      --
1307
      ma_instr_o     : out std_ulogic; -- misaligned instruction address
1308
      be_instr_o     : out std_ulogic; -- bus error on instruction access
1309
      -- cpu data access interface --
1310
      addr_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1311
      wdata_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1312
      rdata_o        : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1313
      mar_o          : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1314
      d_wait_o       : out std_ulogic; -- wait for access to complete
1315
      --
1316 57 zero_gravi
      excl_state_o   : out std_ulogic; -- atomic/exclusive access status
1317 12 zero_gravi
      ma_load_o      : out std_ulogic; -- misaligned load data address
1318
      ma_store_o     : out std_ulogic; -- misaligned store data address
1319
      be_load_o      : out std_ulogic; -- bus error on load data access
1320
      be_store_o     : out std_ulogic; -- bus error on store data access
1321 15 zero_gravi
      -- physical memory protection --
1322
      pmp_addr_i     : in  pmp_addr_if_t; -- addresses
1323
      pmp_ctrl_i     : in  pmp_ctrl_if_t; -- configs
1324 12 zero_gravi
      -- instruction bus --
1325
      i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1326
      i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1327
      i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1328
      i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1329
      i_bus_we_o     : out std_ulogic; -- write enable
1330
      i_bus_re_o     : out std_ulogic; -- read enable
1331 57 zero_gravi
      i_bus_lock_o   : out std_ulogic; -- exclusive access request
1332 12 zero_gravi
      i_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1333
      i_bus_err_i    : in  std_ulogic; -- bus transfer error
1334
      i_bus_fence_o  : out std_ulogic; -- fence operation
1335
      -- data bus --
1336
      d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1337
      d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1338
      d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1339
      d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1340
      d_bus_we_o     : out std_ulogic; -- write enable
1341
      d_bus_re_o     : out std_ulogic; -- read enable
1342 57 zero_gravi
      d_bus_lock_o   : out std_ulogic; -- exclusive access request
1343 12 zero_gravi
      d_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1344
      d_bus_err_i    : in  std_ulogic; -- bus transfer error
1345 57 zero_gravi
      d_bus_fence_o  : out std_ulogic  -- fence operation
1346 2 zero_gravi
    );
1347
  end component;
1348
 
1349 57 zero_gravi
  -- Component: Bus Keeper ------------------------------------------------------------------
1350
  -- -------------------------------------------------------------------------------------------
1351
  component neorv32_bus_keeper is
1352
    generic (
1353 59 zero_gravi
       -- External memory interface --
1354 62 zero_gravi
      MEM_EXT_EN        : boolean;  -- implement external memory bus interface?
1355 57 zero_gravi
      -- Internal instruction memory --
1356 62 zero_gravi
      MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
1357
      MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
1358 57 zero_gravi
      -- Internal data memory --
1359 62 zero_gravi
      MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
1360
      MEM_INT_DMEM_SIZE : natural  -- size of processor-internal data memory in bytes
1361 57 zero_gravi
    );
1362
    port (
1363
      -- host access --
1364
      clk_i  : in  std_ulogic; -- global clock line
1365
      rstn_i : in  std_ulogic; -- global reset line, low-active
1366
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1367
      rden_i : in  std_ulogic; -- read enable
1368
      wren_i : in  std_ulogic; -- write enable
1369
      ack_i  : in  std_ulogic; -- transfer acknowledge from bus system
1370
      err_i  : in  std_ulogic; -- transfer error from bus system
1371
      err_o  : out std_ulogic  -- bus error
1372
    );
1373
  end component;
1374
 
1375 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1376 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1377 45 zero_gravi
  component neorv32_icache
1378 41 zero_gravi
    generic (
1379 62 zero_gravi
      ICACHE_NUM_BLOCKS : natural; -- number of blocks (min 1), has to be a power of 2
1380
      ICACHE_BLOCK_SIZE : natural; -- block size in bytes (min 4), has to be a power of 2
1381
      ICACHE_NUM_SETS   : natural  -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1382 41 zero_gravi
    );
1383
    port (
1384
      -- global control --
1385
      clk_i         : in  std_ulogic; -- global clock, rising edge
1386
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1387
      clear_i       : in  std_ulogic; -- cache clear
1388
      -- host controller interface --
1389
      host_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1390
      host_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1391
      host_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1392
      host_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1393
      host_we_i     : in  std_ulogic; -- write enable
1394
      host_re_i     : in  std_ulogic; -- read enable
1395
      host_ack_o    : out std_ulogic; -- bus transfer acknowledge
1396
      host_err_o    : out std_ulogic; -- bus transfer error
1397
      -- peripheral bus interface --
1398
      bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1399
      bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1400
      bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1401
      bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1402
      bus_we_o      : out std_ulogic; -- write enable
1403
      bus_re_o      : out std_ulogic; -- read enable
1404
      bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1405
      bus_err_i     : in  std_ulogic  -- bus transfer error
1406
    );
1407
  end component;
1408
 
1409 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1410
  -- -------------------------------------------------------------------------------------------
1411
  component neorv32_busswitch
1412
    generic (
1413 62 zero_gravi
      PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only
1414
      PORT_CB_READ_ONLY : boolean  -- set if controller port B is read-only
1415 12 zero_gravi
    );
1416
    port (
1417
      -- global control --
1418
      clk_i           : in  std_ulogic; -- global clock, rising edge
1419
      rstn_i          : in  std_ulogic; -- global reset, low-active, async
1420
      -- controller interface a --
1421
      ca_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1422
      ca_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1423
      ca_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1424
      ca_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1425
      ca_bus_we_i     : in  std_ulogic; -- write enable
1426
      ca_bus_re_i     : in  std_ulogic; -- read enable
1427 57 zero_gravi
      ca_bus_lock_i   : in  std_ulogic; -- exclusive access request
1428 12 zero_gravi
      ca_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1429
      ca_bus_err_o    : out std_ulogic; -- bus transfer error
1430
      -- controller interface b --
1431
      cb_bus_addr_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1432
      cb_bus_rdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1433
      cb_bus_wdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1434
      cb_bus_ben_i    : in  std_ulogic_vector(03 downto 0); -- byte enable
1435
      cb_bus_we_i     : in  std_ulogic; -- write enable
1436
      cb_bus_re_i     : in  std_ulogic; -- read enable
1437 57 zero_gravi
      cb_bus_lock_i   : in  std_ulogic; -- exclusive access request
1438 12 zero_gravi
      cb_bus_ack_o    : out std_ulogic; -- bus transfer acknowledge
1439
      cb_bus_err_o    : out std_ulogic; -- bus transfer error
1440
      -- peripheral bus --
1441 36 zero_gravi
      p_bus_src_o     : out std_ulogic; -- access source: 0 = A, 1 = B
1442 12 zero_gravi
      p_bus_addr_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1443
      p_bus_rdata_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1444
      p_bus_wdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1445
      p_bus_ben_o     : out std_ulogic_vector(03 downto 0); -- byte enable
1446
      p_bus_we_o      : out std_ulogic; -- write enable
1447
      p_bus_re_o      : out std_ulogic; -- read enable
1448 57 zero_gravi
      p_bus_lock_o    : out std_ulogic; -- exclusive access request
1449 12 zero_gravi
      p_bus_ack_i     : in  std_ulogic; -- bus transfer acknowledge
1450
      p_bus_err_i     : in  std_ulogic  -- bus transfer error
1451
    );
1452
  end component;
1453
 
1454 2 zero_gravi
  -- Component: CPU Compressed Instructions Decompressor ------------------------------------
1455
  -- -------------------------------------------------------------------------------------------
1456
  component neorv32_cpu_decompressor
1457
    port (
1458
      -- instruction input --
1459
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1460
      -- instruction output --
1461
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1462
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1463
    );
1464
  end component;
1465
 
1466
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1467
  -- -------------------------------------------------------------------------------------------
1468
  component neorv32_imem
1469
    generic (
1470 62 zero_gravi
      IMEM_BASE    : std_ulogic_vector(31 downto 0); -- memory base address
1471
      IMEM_SIZE    : natural; -- processor-internal instruction memory size in bytes
1472
      IMEM_AS_IROM : boolean  -- implement IMEM as pre-initialized read-only memory?
1473 2 zero_gravi
    );
1474
    port (
1475
      clk_i  : in  std_ulogic; -- global clock line
1476
      rden_i : in  std_ulogic; -- read enable
1477
      wren_i : in  std_ulogic; -- write enable
1478
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1479
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1480
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1481
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1482
      ack_o  : out std_ulogic -- transfer acknowledge
1483
    );
1484
  end component;
1485
 
1486
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1487
  -- -------------------------------------------------------------------------------------------
1488
  component neorv32_dmem
1489
    generic (
1490 62 zero_gravi
      DMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address
1491
      DMEM_SIZE : natural -- processor-internal instruction memory size in bytes
1492 2 zero_gravi
    );
1493
    port (
1494
      clk_i  : in  std_ulogic; -- global clock line
1495
      rden_i : in  std_ulogic; -- read enable
1496
      wren_i : in  std_ulogic; -- write enable
1497
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1498
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1499
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1500
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1501
      ack_o  : out std_ulogic -- transfer acknowledge
1502
    );
1503
  end component;
1504
 
1505
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1506
  -- -------------------------------------------------------------------------------------------
1507
  component neorv32_boot_rom
1508 23 zero_gravi
    generic (
1509 62 zero_gravi
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) -- boot ROM base address
1510 23 zero_gravi
    );
1511 2 zero_gravi
    port (
1512
      clk_i  : in  std_ulogic; -- global clock line
1513
      rden_i : in  std_ulogic; -- read enable
1514
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1515
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1516
      ack_o  : out std_ulogic -- transfer acknowledge
1517
    );
1518
  end component;
1519
 
1520
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1521
  -- -------------------------------------------------------------------------------------------
1522
  component neorv32_mtime
1523
    port (
1524
      -- host access --
1525 60 zero_gravi
      clk_i  : in  std_ulogic; -- global clock line
1526
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1527
      rden_i : in  std_ulogic; -- read enable
1528
      wren_i : in  std_ulogic; -- write enable
1529
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1530
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1531
      ack_o  : out std_ulogic; -- transfer acknowledge
1532 11 zero_gravi
      -- time output for CPU --
1533 60 zero_gravi
      time_o : out std_ulogic_vector(63 downto 0); -- current system time
1534 2 zero_gravi
      -- interrupt --
1535 60 zero_gravi
      irq_o  : out std_ulogic  -- interrupt request
1536 2 zero_gravi
    );
1537
  end component;
1538
 
1539
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1540
  -- -------------------------------------------------------------------------------------------
1541
  component neorv32_gpio
1542
    port (
1543
      -- host access --
1544
      clk_i  : in  std_ulogic; -- global clock line
1545
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1546
      rden_i : in  std_ulogic; -- read enable
1547
      wren_i : in  std_ulogic; -- write enable
1548
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1549
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1550
      ack_o  : out std_ulogic; -- transfer acknowledge
1551
      -- parallel io --
1552 61 zero_gravi
      gpio_o : out std_ulogic_vector(63 downto 0);
1553
      gpio_i : in  std_ulogic_vector(63 downto 0)
1554 2 zero_gravi
    );
1555
  end component;
1556
 
1557
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1558
  -- -------------------------------------------------------------------------------------------
1559
  component neorv32_wdt
1560
    port (
1561
      -- host access --
1562
      clk_i       : in  std_ulogic; -- global clock line
1563
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1564
      rden_i      : in  std_ulogic; -- read enable
1565
      wren_i      : in  std_ulogic; -- write enable
1566
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1567
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1568
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1569
      ack_o       : out std_ulogic; -- transfer acknowledge
1570
      -- clock generator --
1571
      clkgen_en_o : out std_ulogic; -- enable clock generator
1572
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1573
      -- timeout event --
1574
      irq_o       : out std_ulogic; -- timeout IRQ
1575
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1576
    );
1577
  end component;
1578
 
1579
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1580
  -- -------------------------------------------------------------------------------------------
1581
  component neorv32_uart
1582 50 zero_gravi
    generic (
1583 62 zero_gravi
      UART_PRIMARY : boolean -- true = primary UART (UART0), false = secondary UART (UART1)
1584 50 zero_gravi
    );
1585 2 zero_gravi
    port (
1586
      -- host access --
1587
      clk_i       : in  std_ulogic; -- global clock line
1588
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1589
      rden_i      : in  std_ulogic; -- read enable
1590
      wren_i      : in  std_ulogic; -- write enable
1591
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1592
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1593
      ack_o       : out std_ulogic; -- transfer acknowledge
1594
      -- clock generator --
1595
      clkgen_en_o : out std_ulogic; -- enable clock generator
1596
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1597
      -- com lines --
1598
      uart_txd_o  : out std_ulogic;
1599
      uart_rxd_i  : in  std_ulogic;
1600 51 zero_gravi
      -- hardware flow control --
1601
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1602
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1603 2 zero_gravi
      -- interrupts --
1604 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1605
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1606 2 zero_gravi
    );
1607
  end component;
1608
 
1609
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1610
  -- -------------------------------------------------------------------------------------------
1611
  component neorv32_spi
1612
    port (
1613
      -- host access --
1614
      clk_i       : in  std_ulogic; -- global clock line
1615
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1616
      rden_i      : in  std_ulogic; -- read enable
1617
      wren_i      : in  std_ulogic; -- write enable
1618
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1619
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1620
      ack_o       : out std_ulogic; -- transfer acknowledge
1621
      -- clock generator --
1622
      clkgen_en_o : out std_ulogic; -- enable clock generator
1623
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1624
      -- com lines --
1625 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1626
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1627
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1628 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1629
      -- interrupt --
1630 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1631 2 zero_gravi
    );
1632
  end component;
1633
 
1634
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1635
  -- -------------------------------------------------------------------------------------------
1636
  component neorv32_twi
1637
    port (
1638
      -- host access --
1639
      clk_i       : in  std_ulogic; -- global clock line
1640
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1641
      rden_i      : in  std_ulogic; -- read enable
1642
      wren_i      : in  std_ulogic; -- write enable
1643
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1644
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1645
      ack_o       : out std_ulogic; -- transfer acknowledge
1646
      -- clock generator --
1647
      clkgen_en_o : out std_ulogic; -- enable clock generator
1648
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1649
      -- com lines --
1650
      twi_sda_io  : inout std_logic; -- serial data line
1651
      twi_scl_io  : inout std_logic; -- serial clock line
1652
      -- interrupt --
1653 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1654 2 zero_gravi
    );
1655
  end component;
1656
 
1657
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1658
  -- -------------------------------------------------------------------------------------------
1659
  component neorv32_pwm
1660 60 zero_gravi
    generic (
1661 62 zero_gravi
      NUM_CHANNELS : natural -- number of PWM channels (0..60)
1662 60 zero_gravi
    );
1663 2 zero_gravi
    port (
1664
      -- host access --
1665
      clk_i       : in  std_ulogic; -- global clock line
1666
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1667
      rden_i      : in  std_ulogic; -- read enable
1668
      wren_i      : in  std_ulogic; -- write enable
1669
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1670
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1671
      ack_o       : out std_ulogic; -- transfer acknowledge
1672
      -- clock generator --
1673
      clkgen_en_o : out std_ulogic; -- enable clock generator
1674
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1675
      -- pwm output channels --
1676 60 zero_gravi
      pwm_o       : out std_ulogic_vector(NUM_CHANNELS-1 downto 0)
1677 2 zero_gravi
    );
1678
  end component;
1679
 
1680
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1681
  -- -------------------------------------------------------------------------------------------
1682
  component neorv32_trng
1683
    port (
1684
      -- host access --
1685
      clk_i  : in  std_ulogic; -- global clock line
1686
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1687
      rden_i : in  std_ulogic; -- read enable
1688
      wren_i : in  std_ulogic; -- write enable
1689
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1690
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1691
      ack_o  : out std_ulogic  -- transfer acknowledge
1692
    );
1693
  end component;
1694
 
1695
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1696
  -- -------------------------------------------------------------------------------------------
1697
  component neorv32_wishbone
1698
    generic (
1699 23 zero_gravi
      -- Internal instruction memory --
1700 62 zero_gravi
      MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
1701
      MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
1702 23 zero_gravi
      -- Internal data memory --
1703 62 zero_gravi
      MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
1704
      MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
1705
      -- Interface Configuration --
1706
      BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1707
      PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1708
      BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
1709
      ASYNC_RX          : boolean  -- use register buffer for RX data when false
1710 2 zero_gravi
    );
1711
    port (
1712
      -- global control --
1713 57 zero_gravi
      clk_i     : in  std_ulogic; -- global clock line
1714
      rstn_i    : in  std_ulogic; -- global reset line, low-active
1715 2 zero_gravi
      -- host access --
1716 57 zero_gravi
      src_i     : in  std_ulogic; -- access type (0: data, 1:instruction)
1717
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1718
      rden_i    : in  std_ulogic; -- read enable
1719
      wren_i    : in  std_ulogic; -- write enable
1720
      ben_i     : in  std_ulogic_vector(03 downto 0); -- byte write enable
1721
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1722
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1723
      lock_i    : in  std_ulogic; -- exclusive access request
1724
      ack_o     : out std_ulogic; -- transfer acknowledge
1725
      err_o     : out std_ulogic; -- transfer error
1726
      priv_i    : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
1727 2 zero_gravi
      -- wishbone interface --
1728 57 zero_gravi
      wb_tag_o  : out std_ulogic_vector(02 downto 0); -- request tag
1729
      wb_adr_o  : out std_ulogic_vector(31 downto 0); -- address
1730
      wb_dat_i  : in  std_ulogic_vector(31 downto 0); -- read data
1731
      wb_dat_o  : out std_ulogic_vector(31 downto 0); -- write data
1732
      wb_we_o   : out std_ulogic; -- read/write
1733
      wb_sel_o  : out std_ulogic_vector(03 downto 0); -- byte enable
1734
      wb_stb_o  : out std_ulogic; -- strobe
1735
      wb_cyc_o  : out std_ulogic; -- valid cycle
1736
      wb_lock_o : out std_ulogic; -- exclusive access request
1737
      wb_ack_i  : in  std_ulogic; -- transfer acknowledge
1738
      wb_err_i  : in  std_ulogic  -- transfer error
1739 2 zero_gravi
    );
1740
  end component;
1741
 
1742 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1743 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1744 47 zero_gravi
  component neorv32_cfs
1745
    generic (
1746 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1747 62 zero_gravi
      CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
1748
      CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
1749 23 zero_gravi
    );
1750 34 zero_gravi
    port (
1751
      -- host access --
1752
      clk_i       : in  std_ulogic; -- global clock line
1753
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1754
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1755
      rden_i      : in  std_ulogic; -- read enable
1756 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1757 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1758
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1759
      ack_o       : out std_ulogic; -- transfer acknowledge
1760
      -- clock generator --
1761
      clkgen_en_o : out std_ulogic; -- enable clock generator
1762 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1763
      -- CPU state --
1764
      sleep_i     : in  std_ulogic; -- set if cpu is in sleep mode
1765
      -- interrupt --
1766
      irq_o       : out std_ulogic; -- interrupt request
1767
      -- custom io (conduit) --
1768 62 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0); -- custom inputs
1769
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0) -- custom outputs
1770 34 zero_gravi
    );
1771
  end component;
1772
 
1773 61 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1774 49 zero_gravi
  -- -------------------------------------------------------------------------------------------
1775 61 zero_gravi
  component neorv32_neoled
1776 62 zero_gravi
    generic (
1777
      FIFO_DEPTH : natural -- TX FIFO depth (1..32k, power of two)
1778
    );
1779 49 zero_gravi
    port (
1780
      -- host access --
1781
      clk_i       : in  std_ulogic; -- global clock line
1782
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1783
      rden_i      : in  std_ulogic; -- read enable
1784
      wren_i      : in  std_ulogic; -- write enable
1785
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1786
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1787
      ack_o       : out std_ulogic; -- transfer acknowledge
1788
      -- clock generator --
1789
      clkgen_en_o : out std_ulogic; -- enable clock generator
1790
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1791 61 zero_gravi
      -- interrupt --
1792
      irq_o       : out std_ulogic; -- interrupt request
1793
      -- NEOLED output --
1794
      neoled_o    : out std_ulogic -- serial async data line
1795 49 zero_gravi
    );
1796
  end component;
1797
 
1798 61 zero_gravi
  -- Component: Stream Link Interface (SLINK) -----------------------------------------------
1799 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1800 61 zero_gravi
  component neorv32_slink
1801
    generic (
1802 62 zero_gravi
      SLINK_NUM_TX  : natural; -- number of TX links (0..8)
1803
      SLINK_NUM_RX  : natural; -- number of TX links (0..8)
1804
      SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two
1805
      SLINK_RX_FIFO : natural  -- RX fifo depth, has to be a power of two
1806 61 zero_gravi
    );
1807 52 zero_gravi
    port (
1808
      -- host access --
1809 61 zero_gravi
      clk_i          : in  std_ulogic; -- global clock line
1810
      addr_i         : in  std_ulogic_vector(31 downto 0); -- address
1811
      rden_i         : in  std_ulogic; -- read enable
1812
      wren_i         : in  std_ulogic; -- write enable
1813
      data_i         : in  std_ulogic_vector(31 downto 0); -- data in
1814
      data_o         : out std_ulogic_vector(31 downto 0); -- data out
1815
      ack_o          : out std_ulogic; -- transfer acknowledge
1816 52 zero_gravi
      -- interrupt --
1817 61 zero_gravi
      irq_tx_o       : out std_ulogic; -- transmission done
1818
      irq_rx_o       : out std_ulogic; -- data received
1819
      -- TX stream interfaces --
1820
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1821
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1822
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
1823
      -- RX stream interfaces --
1824
      slink_rx_dat_i : in  sdata_8x32_t; -- input data
1825
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
1826
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
1827 52 zero_gravi
    );
1828
  end component;
1829
 
1830 61 zero_gravi
  -- Component: External Interrupt Controller (XIRQ) ----------------------------------------
1831
  -- -------------------------------------------------------------------------------------------
1832
  component neorv32_xirq
1833
    generic (
1834 62 zero_gravi
      XIRQ_NUM_CH           : natural; -- number of external IRQ channels (0..32)
1835
      XIRQ_TRIGGER_TYPE     : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge
1836
      XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0)  -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1837 61 zero_gravi
    );
1838
    port (
1839
      -- host access --
1840
      clk_i     : in  std_ulogic; -- global clock line
1841
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1842
      rden_i    : in  std_ulogic; -- read enable
1843
      wren_i    : in  std_ulogic; -- write enable
1844
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1845
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1846
      ack_o     : out std_ulogic; -- transfer acknowledge
1847
      -- external interrupt lines --
1848
      xirq_i    : in  std_ulogic_vector(XIRQ_NUM_CH-1 downto 0);
1849
      -- CPU interrupt --
1850
      cpu_irq_o : out std_ulogic
1851
    );
1852
  end component;
1853
 
1854 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
1855
  -- -------------------------------------------------------------------------------------------
1856 12 zero_gravi
  component neorv32_sysinfo
1857
    generic (
1858
      -- General --
1859 62 zero_gravi
      CLOCK_FREQUENCY      : natural; -- clock frequency of clk_i in Hz
1860
      INT_BOOTLOADER_EN    : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
1861 41 zero_gravi
      USER_CODE            : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
1862 23 zero_gravi
      -- Internal Instruction memory --
1863 62 zero_gravi
      MEM_INT_IMEM_EN      : boolean; -- implement processor-internal instruction memory
1864
      MEM_INT_IMEM_SIZE    : natural; -- size of processor-internal instruction memory in bytes
1865 23 zero_gravi
      -- Internal Data memory --
1866 62 zero_gravi
      MEM_INT_DMEM_EN      : boolean; -- implement processor-internal data memory
1867
      MEM_INT_DMEM_SIZE    : natural; -- size of processor-internal data memory in bytes
1868 41 zero_gravi
      -- Internal Cache memory --
1869 62 zero_gravi
      ICACHE_EN            : boolean; -- implement instruction cache
1870
      ICACHE_NUM_BLOCKS    : natural; -- i-cache: number of blocks (min 2), has to be a power of 2
1871
      ICACHE_BLOCK_SIZE    : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2
1872
      ICACHE_ASSOCIATIVITY : natural; -- i-cache: associativity (min 1), has to be a power 2
1873 23 zero_gravi
      -- External memory interface --
1874 62 zero_gravi
      MEM_EXT_EN           : boolean; -- implement external memory bus interface?
1875
      MEM_EXT_BIG_ENDIAN   : boolean; -- byte order: true=big-endian, false=little-endian
1876 59 zero_gravi
      -- On-Chip Debugger --
1877 62 zero_gravi
      ON_CHIP_DEBUGGER_EN  : boolean; -- implement OCD?
1878 12 zero_gravi
      -- Processor peripherals --
1879 62 zero_gravi
      IO_GPIO_EN           : boolean; -- implement general purpose input/output port unit (GPIO)?
1880
      IO_MTIME_EN          : boolean; -- implement machine system timer (MTIME)?
1881
      IO_UART0_EN          : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)?
1882
      IO_UART1_EN          : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1883
      IO_SPI_EN            : boolean; -- implement serial peripheral interface (SPI)?
1884
      IO_TWI_EN            : boolean; -- implement two-wire interface (TWI)?
1885
      IO_PWM_NUM_CH        : natural; -- number of PWM channels to implement
1886
      IO_WDT_EN            : boolean; -- implement watch dog timer (WDT)?
1887
      IO_TRNG_EN           : boolean; -- implement true random number generator (TRNG)?
1888
      IO_CFS_EN            : boolean; -- implement custom functions subsystem (CFS)?
1889
      IO_SLINK_EN          : boolean; -- implement stream link interface?
1890
      IO_NEOLED_EN         : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1891
      IO_XIRQ_NUM_CH       : natural  -- number of external interrupt (XIRQ) channels to implement
1892 12 zero_gravi
    );
1893
    port (
1894
      -- host access --
1895
      clk_i  : in  std_ulogic; -- global clock line
1896
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1897
      rden_i : in  std_ulogic; -- read enable
1898
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1899
      ack_o  : out std_ulogic  -- transfer acknowledge
1900
    );
1901
  end component;
1902
 
1903 62 zero_gravi
  -- Component: General Purpose FIFO --------------------------------------------------------
1904 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
1905
  component neorv32_fifo
1906
    generic (
1907 62 zero_gravi
      FIFO_DEPTH : natural; -- number of fifo entries; has to be a power of two; min 1
1908
      FIFO_WIDTH : natural; -- size of data elements in fifo
1909
      FIFO_RSYNC : boolean; -- false = async read; true = sync read
1910
      FIFO_SAFE  : boolean  -- true = allow read/write only if entry available
1911 61 zero_gravi
    );
1912
    port (
1913
      -- control --
1914
      clk_i   : in  std_ulogic; -- clock, rising edge
1915
      rstn_i  : in  std_ulogic; -- async reset, low-active
1916
      clear_i : in  std_ulogic; -- sync reset, high-active
1917 62 zero_gravi
      level_o : out std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill level
1918 61 zero_gravi
      -- write port --
1919
      wdata_i : in  std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data
1920
      we_i    : in  std_ulogic; -- write enable
1921
      free_o  : out std_ulogic; -- at least one entry is free when set
1922
      -- read port --
1923
      re_i    : in  std_ulogic; -- read enable
1924
      rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data
1925
      avail_o : out std_ulogic  -- data available when set
1926
    );
1927
  end component;
1928
 
1929 59 zero_gravi
  -- Component: On-Chip Debugger - Debug Module (DM) ----------------------------------------
1930
  -- -------------------------------------------------------------------------------------------
1931
  component neorv32_debug_dm
1932
    port (
1933
      -- global control --
1934
      clk_i            : in  std_ulogic; -- global clock line
1935
      rstn_i           : in  std_ulogic; -- global reset line, low-active
1936
      -- debug module interface (DMI) --
1937
      dmi_rstn_i       : in  std_ulogic;
1938
      dmi_req_valid_i  : in  std_ulogic;
1939
      dmi_req_ready_o  : out std_ulogic; -- DMI is allowed to make new requests when set
1940
      dmi_req_addr_i   : in  std_ulogic_vector(06 downto 0);
1941
      dmi_req_op_i     : in  std_ulogic; -- 0=read, 1=write
1942
      dmi_req_data_i   : in  std_ulogic_vector(31 downto 0);
1943
      dmi_resp_valid_o : out std_ulogic; -- response valid when set
1944
      dmi_resp_ready_i : in  std_ulogic; -- ready to receive respond
1945
      dmi_resp_data_o  : out std_ulogic_vector(31 downto 0);
1946
      dmi_resp_err_o   : out std_ulogic; -- 0=ok, 1=error
1947
      -- CPU bus access --
1948
      cpu_addr_i       : in  std_ulogic_vector(31 downto 0); -- address
1949
      cpu_rden_i       : in  std_ulogic; -- read enable
1950
      cpu_wren_i       : in  std_ulogic; -- write enable
1951
      cpu_data_i       : in  std_ulogic_vector(31 downto 0); -- data in
1952
      cpu_data_o       : out std_ulogic_vector(31 downto 0); -- data out
1953
      cpu_ack_o        : out std_ulogic; -- transfer acknowledge
1954
      -- CPU control --
1955
      cpu_ndmrstn_o    : out std_ulogic; -- soc reset
1956
      cpu_halt_req_o   : out std_ulogic  -- request hart to halt (enter debug mode)
1957
    );
1958
  end component;
1959
 
1960
  -- Component: On-Chip Debugger - Debug Transport Module (DTM) -----------------------------
1961
  -- -------------------------------------------------------------------------------------------
1962
  component neorv32_debug_dtm
1963
    generic (
1964 62 zero_gravi
      IDCODE_VERSION : std_ulogic_vector(03 downto 0); -- version
1965
      IDCODE_PARTID  : std_ulogic_vector(15 downto 0); -- part number
1966
      IDCODE_MANID   : std_ulogic_vector(10 downto 0)  -- manufacturer id
1967 59 zero_gravi
    );
1968
    port (
1969
      -- global control --
1970
      clk_i            : in  std_ulogic; -- global clock line
1971
      rstn_i           : in  std_ulogic; -- global reset line, low-active
1972
      -- jtag connection --
1973
      jtag_trst_i      : in  std_ulogic;
1974
      jtag_tck_i       : in  std_ulogic;
1975
      jtag_tdi_i       : in  std_ulogic;
1976
      jtag_tdo_o       : out std_ulogic;
1977
      jtag_tms_i       : in  std_ulogic;
1978
      -- debug module interface (DMI) --
1979
      dmi_rstn_o       : out std_ulogic;
1980
      dmi_req_valid_o  : out std_ulogic;
1981
      dmi_req_ready_i  : in  std_ulogic; -- DMI is allowed to make new requests when set
1982
      dmi_req_addr_o   : out std_ulogic_vector(06 downto 0);
1983
      dmi_req_op_o     : out std_ulogic; -- 0=read, 1=write
1984
      dmi_req_data_o   : out std_ulogic_vector(31 downto 0);
1985
      dmi_resp_valid_i : in  std_ulogic; -- response valid when set
1986
      dmi_resp_ready_o : out std_ulogic; -- ready to receive respond
1987
      dmi_resp_data_i  : in  std_ulogic_vector(31 downto 0);
1988
      dmi_resp_err_i   : in  std_ulogic -- 0=ok, 1=error
1989
    );
1990
  end component;
1991
 
1992 2 zero_gravi
end neorv32_package;
1993
 
1994
package body neorv32_package is
1995
 
1996 41 zero_gravi
  -- Function: Minimal required number of bits to represent input number --------------------
1997 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1998
  function index_size_f(input : natural) return natural is
1999
  begin
2000
    for i in 0 to natural'high loop
2001
      if (2**i >= input) then
2002
        return i;
2003
      end if;
2004
    end loop; -- i
2005
    return 0;
2006
  end function index_size_f;
2007
 
2008
  -- Function: Conditional select natural ---------------------------------------------------
2009
  -- -------------------------------------------------------------------------------------------
2010
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
2011
  begin
2012
    if (cond = true) then
2013
      return val_t;
2014
    else
2015
      return val_f;
2016
    end if;
2017
  end function cond_sel_natural_f;
2018
 
2019 56 zero_gravi
  -- Function: Conditional select integer ---------------------------------------------------
2020
  -- -------------------------------------------------------------------------------------------
2021
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer is
2022
  begin
2023
    if (cond = true) then
2024
      return val_t;
2025
    else
2026
      return val_f;
2027
    end if;
2028
  end function cond_sel_int_f;
2029
 
2030 2 zero_gravi
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
2031
  -- -------------------------------------------------------------------------------------------
2032
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
2033
  begin
2034
    if (cond = true) then
2035
      return val_t;
2036
    else
2037
      return val_f;
2038
    end if;
2039
  end function cond_sel_stdulogicvector_f;
2040
 
2041 56 zero_gravi
  -- Function: Conditional select std_ulogic ------------------------------------------------
2042
  -- -------------------------------------------------------------------------------------------
2043
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic is
2044
  begin
2045
    if (cond = true) then
2046
      return val_t;
2047
    else
2048
      return val_f;
2049
    end if;
2050
  end function cond_sel_stdulogic_f;
2051
 
2052 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
2053 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2054 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
2055
  begin
2056
    if (cond = true) then
2057
      return val_t;
2058
    else
2059
      return val_f;
2060
    end if;
2061
  end function cond_sel_string_f;
2062
 
2063
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
2064
  -- -------------------------------------------------------------------------------------------
2065 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
2066
  begin
2067
    if (cond = true) then
2068
      return '1';
2069
    else
2070
      return '0';
2071
    end if;
2072
  end function bool_to_ulogic_f;
2073
 
2074 60 zero_gravi
  -- Function: OR-reduce all bits -----------------------------------------------------------
2075 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2076 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic is
2077 2 zero_gravi
    variable tmp_v : std_ulogic;
2078
  begin
2079 56 zero_gravi
    tmp_v := '0';
2080 15 zero_gravi
    if (a'low < a'high) then -- not null range?
2081 56 zero_gravi
      for i in a'low to a'high loop
2082 15 zero_gravi
        tmp_v := tmp_v or a(i);
2083
      end loop; -- i
2084
    end if;
2085 2 zero_gravi
    return tmp_v;
2086 60 zero_gravi
  end function or_reduce_f;
2087 2 zero_gravi
 
2088 60 zero_gravi
  -- Function: AND-reduce all bits ----------------------------------------------------------
2089 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2090 60 zero_gravi
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic is
2091 2 zero_gravi
    variable tmp_v : std_ulogic;
2092
  begin
2093 56 zero_gravi
    tmp_v := '1';
2094 15 zero_gravi
    if (a'low < a'high) then -- not null range?
2095 56 zero_gravi
      for i in a'low to a'high loop
2096 15 zero_gravi
        tmp_v := tmp_v and a(i);
2097
      end loop; -- i
2098
    end if;
2099 2 zero_gravi
    return tmp_v;
2100 60 zero_gravi
  end function and_reduce_f;
2101 2 zero_gravi
 
2102 60 zero_gravi
  -- Function: XOR-reduce all bits ----------------------------------------------------------
2103 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2104 60 zero_gravi
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic is
2105 2 zero_gravi
    variable tmp_v : std_ulogic;
2106
  begin
2107 56 zero_gravi
    tmp_v := '0';
2108 15 zero_gravi
    if (a'low < a'high) then -- not null range?
2109 56 zero_gravi
      for i in a'low to a'high loop
2110 15 zero_gravi
        tmp_v := tmp_v xor a(i);
2111
      end loop; -- i
2112
    end if;
2113 2 zero_gravi
    return tmp_v;
2114 60 zero_gravi
  end function xor_reduce_f;
2115 2 zero_gravi
 
2116 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
2117 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
2118
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
2119
    variable output_v : character;
2120
  begin
2121
    case input is
2122 7 zero_gravi
      when x"0"   => output_v := '0';
2123
      when x"1"   => output_v := '1';
2124
      when x"2"   => output_v := '2';
2125
      when x"3"   => output_v := '3';
2126
      when x"4"   => output_v := '4';
2127
      when x"5"   => output_v := '5';
2128
      when x"6"   => output_v := '6';
2129
      when x"7"   => output_v := '7';
2130
      when x"8"   => output_v := '8';
2131
      when x"9"   => output_v := '9';
2132
      when x"a"   => output_v := 'a';
2133
      when x"b"   => output_v := 'b';
2134
      when x"c"   => output_v := 'c';
2135
      when x"d"   => output_v := 'd';
2136
      when x"e"   => output_v := 'e';
2137
      when x"f"   => output_v := 'f';
2138 6 zero_gravi
      when others => output_v := '?';
2139
    end case;
2140
    return output_v;
2141
  end function to_hexchar_f;
2142
 
2143 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
2144
  -- -------------------------------------------------------------------------------------------
2145
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
2146
    variable hex_value_v : std_ulogic_vector(3 downto 0);
2147
  begin
2148
    case input is
2149
      when '0'       => hex_value_v := x"0";
2150
      when '1'       => hex_value_v := x"1";
2151
      when '2'       => hex_value_v := x"2";
2152
      when '3'       => hex_value_v := x"3";
2153
      when '4'       => hex_value_v := x"4";
2154
      when '5'       => hex_value_v := x"5";
2155
      when '6'       => hex_value_v := x"6";
2156
      when '7'       => hex_value_v := x"7";
2157
      when '8'       => hex_value_v := x"8";
2158
      when '9'       => hex_value_v := x"9";
2159
      when 'a' | 'A' => hex_value_v := x"a";
2160
      when 'b' | 'B' => hex_value_v := x"b";
2161
      when 'c' | 'C' => hex_value_v := x"c";
2162
      when 'd' | 'D' => hex_value_v := x"d";
2163
      when 'e' | 'E' => hex_value_v := x"e";
2164
      when 'f' | 'F' => hex_value_v := x"f";
2165
      when others    => hex_value_v := (others => 'X');
2166
    end case;
2167
    return hex_value_v;
2168
  end function hexchar_to_stdulogicvector_f;
2169
 
2170 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
2171
  -- -------------------------------------------------------------------------------------------
2172
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
2173
    variable output_v : std_ulogic_vector(input'range);
2174
  begin
2175
    for i in 0 to input'length-1 loop
2176
      output_v(input'length-i-1) := input(i);
2177
    end loop; -- i
2178
    return output_v;
2179
  end function bit_rev_f;
2180
 
2181 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
2182
  -- -------------------------------------------------------------------------------------------
2183
  function is_power_of_two_f(input : natural) return boolean is
2184
  begin
2185 38 zero_gravi
    if (input = 1) then -- 2^0
2186 36 zero_gravi
      return true;
2187 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
2188
      return true;
2189 36 zero_gravi
    else
2190
      return false;
2191
    end if;
2192
  end function is_power_of_two_f;
2193
 
2194 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
2195
  -- -------------------------------------------------------------------------------------------
2196
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
2197
    variable output_v : std_ulogic_vector(input'range);
2198
  begin
2199
    output_v(07 downto 00) := input(31 downto 24);
2200
    output_v(15 downto 08) := input(23 downto 16);
2201
    output_v(23 downto 16) := input(15 downto 08);
2202
    output_v(31 downto 24) := input(07 downto 00);
2203
    return output_v;
2204
  end function bswap32_f;
2205
 
2206 61 zero_gravi
  -- Function: Convert char to lowercase ----------------------------------------------------
2207
  -- -------------------------------------------------------------------------------------------
2208 62 zero_gravi
  function char_to_lower_f(ch : character) return character is
2209 61 zero_gravi
    variable res: character;
2210
   begin
2211
     case ch is
2212
       when 'A'    => res := 'a';
2213
       when 'B'    => res := 'b';
2214
       when 'C'    => res := 'c';
2215
       when 'D'    => res := 'd';
2216
       when 'E'    => res := 'e';
2217
       when 'F'    => res := 'f';
2218
       when 'G'    => res := 'g';
2219
       when 'H'    => res := 'h';
2220
       when 'I'    => res := 'i';
2221
       when 'J'    => res := 'j';
2222
       when 'K'    => res := 'k';
2223
       when 'L'    => res := 'l';
2224
       when 'M'    => res := 'm';
2225
       when 'N'    => res := 'n';
2226
       when 'O'    => res := 'o';
2227
       when 'P'    => res := 'p';
2228
       when 'Q'    => res := 'q';
2229
       when 'R'    => res := 'r';
2230
       when 'S'    => res := 's';
2231
       when 'T'    => res := 't';
2232
       when 'U'    => res := 'u';
2233
       when 'V'    => res := 'v';
2234
       when 'W'    => res := 'w';
2235
       when 'X'    => res := 'x';
2236
       when 'Y'    => res := 'y';
2237
       when 'Z'    => res := 'z';
2238
       when others => res := ch;
2239
      end case;
2240
    return res;
2241 62 zero_gravi
  end function char_to_lower_f;
2242 61 zero_gravi
 
2243
  -- Function: Compare strings (convert to lower case, check lengths) -----------------------
2244
  -- -------------------------------------------------------------------------------------------
2245
  function str_equal_f(str0 : string; str1 : string) return boolean is
2246
    variable tmp0_v : string(str0'range);
2247
    variable tmp1_v : string(str1'range);
2248
  begin
2249
    if (str0'length /= str1'length) then -- equal length?
2250
      return false;
2251
    else
2252
      -- convert to lower case --
2253
      for i in str0'range loop
2254 62 zero_gravi
        tmp0_v(i) := char_to_lower_f(str0(i));
2255 61 zero_gravi
      end loop;
2256
      for i in str1'range loop
2257 62 zero_gravi
        tmp1_v(i) := char_to_lower_f(str1(i));
2258 61 zero_gravi
      end loop;
2259
      -- compare lowercase strings --
2260
      if (tmp0_v = tmp1_v) then
2261
        return true;
2262
      else
2263
        return false;
2264
      end if;
2265
    end if;
2266
  end function str_equal_f;
2267
 
2268
  -- Function: Initialize mem32_t array from another mem32_t array --------------------------
2269
  -- -------------------------------------------------------------------------------------------
2270
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
2271
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t is
2272
    variable mem_v : mem32_t(0 to depth-1);
2273
  begin
2274 62 zero_gravi
    mem_v := (others => (others => '0')); -- make sure remaining memory entries are set to zero
2275
    if (init'length > depth) then
2276
      return mem_v;
2277
    end if;
2278
    for idx_v in 0 to init'length-1 loop -- init only in range of source data array
2279
      mem_v(idx_v) := init(idx_v);
2280
    end loop; -- idx_v
2281 61 zero_gravi
    return mem_v;
2282
  end function mem32_init_f;
2283
 
2284 62 zero_gravi
 
2285 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.