OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 71

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 40 zero_gravi
  -- CPU core --
48 66 zero_gravi
  constant dedicated_reset_c : boolean := false; -- use dedicated hardware reset value for UNCRITICAL registers (FALSE=reset value is irrelevant (might simplify HW), default; TRUE=defined LOW reset value)
49 40 zero_gravi
 
50 54 zero_gravi
  -- "critical" number of implemented PMP regions --
51
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically inserted into the memory interfaces
52
  -- increasing instruction fetch & data access latency by +1 cycle but also reducing critical path length
53
  constant pmp_num_regions_critical_c : natural := 8; -- default=8
54 47 zero_gravi
 
55 69 zero_gravi
  -- "response time window" for processor-internal modules --
56 57 zero_gravi
  constant max_proc_int_response_time_c : natural := 15; -- cycles after which an *unacknowledged* internal bus access will timeout and trigger a bus fault exception (min 2)
57
 
58 59 zero_gravi
  -- jtag tap - identifier --
59
  constant jtag_tap_idcode_version_c : std_ulogic_vector(03 downto 0) := x"0"; -- version
60
  constant jtag_tap_idcode_partid_c  : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number
61
  constant jtag_tap_idcode_manid_c   : std_ulogic_vector(10 downto 0) := "00000000000"; -- manufacturer id
62
 
63 61 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
64
  -- -------------------------------------------------------------------------------------------
65 62 zero_gravi
  constant data_width_c : natural := 32; -- native data path width - do not change!
66 71 zero_gravi
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01060700"; -- no touchy!
67 62 zero_gravi
  constant archid_c     : natural := 19; -- official NEORV32 architecture ID - hands off!
68 61 zero_gravi
 
69 69 zero_gravi
  -- Check if we're inside the Matrix -------------------------------------------------------
70
  -- -------------------------------------------------------------------------------------------
71
  constant is_simulation_c : boolean := false -- seems like we're on real hardware
72
-- pragma translate_off
73
-- synthesis translate_off
74
-- synthesis synthesis_off
75
-- RTL_SYNTHESIS OFF
76
  or true -- this MIGHT be a simulation
77
-- RTL_SYNTHESIS ON
78
-- synthesis synthesis_on
79
-- synthesis translate_on
80
-- pragma translate_on
81
  ;
82
 
83 61 zero_gravi
  -- External Interface Types ---------------------------------------------------------------
84
  -- -------------------------------------------------------------------------------------------
85
  type sdata_8x32_t  is array (0 to 7)  of std_ulogic_vector(31 downto 0);
86
  type sdata_8x32r_t is array (0 to 7)  of std_logic_vector(31 downto 0); -- resolved type
87
 
88
  -- Internal Interface Types ---------------------------------------------------------------
89
  -- -------------------------------------------------------------------------------------------
90
  type pmp_ctrl_if_t is array (0 to 63) of std_ulogic_vector(07 downto 0);
91
  type pmp_addr_if_t is array (0 to 63) of std_ulogic_vector(33 downto 0);
92
 
93
  -- Internal Memory Types Configuration Types ----------------------------------------------
94
  -- -------------------------------------------------------------------------------------------
95
  type mem32_t is array (natural range <>) of std_ulogic_vector(31 downto 0); -- memory with 32-bit entries
96
  type mem8_t  is array (natural range <>) of std_ulogic_vector(07 downto 0); -- memory with 8-bit entries
97
 
98 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
99 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
100
  function index_size_f(input : natural) return natural;
101
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
102 56 zero_gravi
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer;
103 2 zero_gravi
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
104 56 zero_gravi
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic;
105 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
106 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
107 71 zero_gravi
  function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector;
108
  function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector;
109 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic;
110
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic;
111
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic;
112 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
113 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
114 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
115 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
116 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
117 62 zero_gravi
  function char_to_lower_f(ch : character) return character;
118 61 zero_gravi
  function str_equal_f(str0 : string; str1 : string) return boolean;
119 63 zero_gravi
  function popcount_f(input : std_ulogic_vector) return natural;
120
  function leading_zeros_f(input : std_ulogic_vector) return natural;
121 61 zero_gravi
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t;
122 2 zero_gravi
 
123 61 zero_gravi
  -- Internal (auto-generated) Configurations -----------------------------------------------
124 56 zero_gravi
  -- -------------------------------------------------------------------------------------------
125 70 zero_gravi
  constant def_rst_val_c : std_ulogic; -- Use a deferred constant, prevents compile error with Questa, see IEEE 1076-2008 14.4.2.1
126 56 zero_gravi
 
127 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
128
  -- -------------------------------------------------------------------------------------------
129 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
130 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
131
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
132 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
133 2 zero_gravi
 
134 64 zero_gravi
  -- !!! IMPORTANT: The base address of each component/module has to be aligned to the !!!
135
  -- !!! total size of the module's occupied address space. The occupied address space !!!
136
  -- !!! has to be a power of two (minimum 4 bytes). Address spaces must not overlap.  !!!
137
 
138 23 zero_gravi
  -- Internal Bootloader ROM --
139 61 zero_gravi
  -- Actual bootloader size is determined during runtime via the length of the bootloader initialization image
140 56 zero_gravi
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffff0000"; -- bootloader base address, fixed!
141 61 zero_gravi
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space size in bytes, fixed!
142 23 zero_gravi
 
143 59 zero_gravi
  -- On-Chip Debugger: Debug Module --
144
  constant dm_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; -- base address, fixed!
145 61 zero_gravi
  constant dm_size_c            : natural := 4*32*4; -- debug ROM address space size in bytes, fixed
146 59 zero_gravi
  constant dm_code_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800";
147
  constant dm_pbuf_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff880";
148
  constant dm_data_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff900";
149
  constant dm_sreg_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff980";
150
 
151 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
152 70 zero_gravi
  -- Control register(s) (including the device-enable flag) should be located at the base address of each device
153 60 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
154 61 zero_gravi
  constant io_size_c            : natural := 512; -- IO address space size in bytes, fixed!
155 2 zero_gravi
 
156 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
157 60 zero_gravi
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; -- base address
158 61 zero_gravi
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
159 60 zero_gravi
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
160
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe04";
161
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe08";
162
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe0c";
163
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe10";
164
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe14";
165
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe18";
166
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe1c";
167
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe20";
168
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe24";
169
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe28";
170
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe2c";
171
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe30";
172
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe34";
173
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe38";
174
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe3c";
175
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe40";
176
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe44";
177
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe48";
178
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe4c";
179
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe50";
180
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe54";
181
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe58";
182
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe5c";
183
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe60";
184
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe64";
185
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe68";
186
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe6c";
187
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe70";
188
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe74";
189
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe78";
190
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe7c";
191 47 zero_gravi
 
192 60 zero_gravi
  -- Pulse-Width Modulation Controller (PWM) --
193
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; -- base address
194 61 zero_gravi
  constant pwm_size_c           : natural := 16*4; -- module's address space size in bytes
195 60 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80";
196
  constant pwm_duty0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe84";
197
  constant pwm_duty1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe88";
198
  constant pwm_duty2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe8c";
199
  constant pwm_duty3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe90";
200
  constant pwm_duty4_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe94";
201
  constant pwm_duty5_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe98";
202
  constant pwm_duty6_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe9c";
203
  constant pwm_duty7_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea0";
204
  constant pwm_duty8_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea4";
205
  constant pwm_duty9_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea8";
206
  constant pwm_duty10_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeac";
207
  constant pwm_duty11_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb0";
208
  constant pwm_duty12_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb4";
209
  constant pwm_duty13_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb8";
210
  constant pwm_duty14_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffebc";
211
 
212 63 zero_gravi
  -- Stream Link Interface (SLINK) --
213 61 zero_gravi
  constant slink_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffec0"; -- base address
214
  constant slink_size_c         : natural := 16*4; -- module's address space size in bytes
215 60 zero_gravi
 
216
  -- reserved --
217
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff00"; -- base address
218 63 zero_gravi
--constant reserved_size_c      : natural := 16*4; -- module's address space size in bytes
219 60 zero_gravi
 
220 70 zero_gravi
  -- Execute In Place Module (XIP) --
221
  constant xip_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40"; -- base address
222
  constant xip_size_c           : natural := 4*4; -- module's address space size in bytes
223
  constant xip_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40";
224
  constant xip_map_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff44";
225
  constant xip_data_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff48";
226
  constant xip_data_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff4C";
227
 
228 63 zero_gravi
  -- reserved --
229 70 zero_gravi
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff50"; -- base address
230
--constant reserved_size_c      : natural := 4*4; -- module's address space size in bytes
231 63 zero_gravi
 
232 67 zero_gravi
  -- General Purpose Timer (GPTMR) --
233
  constant gptmr_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60"; -- base address
234
  constant gptmr_size_c         : natural := 4*4; -- module's address space size in bytes
235
  constant gptmr_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60";
236
  constant gptmr_thres_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff64";
237
  constant gptmr_count_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff68";
238
--constant gptmr_reserve_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff6c";
239 63 zero_gravi
 
240
  -- reserved --
241
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff70"; -- base address
242
--constant reserved_size_c      : natural := 2*4; -- module's address space size in bytes
243
 
244
  -- reserved --
245
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff78"; -- base address
246 66 zero_gravi
--constant reserved_size_c      : natural := 1*4; -- module's address space size in bytes
247 63 zero_gravi
 
248 66 zero_gravi
  -- Bus Access Monitor (BUSKEEPER) --
249
  constant buskeeper_base_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff7c"; -- base address
250
  constant buskeeper_size_c     : natural := 1*4; -- module's address space size in bytes
251
 
252 61 zero_gravi
  -- External Interrupt Controller (XIRQ) --
253
  constant xirq_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; -- base address
254
  constant xirq_size_c          : natural := 4*4; -- module's address space size in bytes
255
  constant xirq_enable_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80";
256
  constant xirq_pending_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff84";
257
  constant xirq_source_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff88";
258 62 zero_gravi
--constant xirq_reserved_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff8c";
259 2 zero_gravi
 
260
  -- Machine System Timer (MTIME) --
261 56 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; -- base address
262 61 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- module's address space size in bytes
263 56 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90";
264
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff94";
265
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff98";
266
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff9c";
267 2 zero_gravi
 
268 58 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) --
269 56 zero_gravi
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; -- base address
270 61 zero_gravi
  constant uart0_size_c         : natural := 2*4; -- module's address space size in bytes
271 56 zero_gravi
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0";
272
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa4";
273 2 zero_gravi
 
274
  -- Serial Peripheral Interface (SPI) --
275 56 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; -- base address
276 61 zero_gravi
  constant spi_size_c           : natural := 2*4; -- module's address space size in bytes
277 56 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8";
278
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffac";
279 2 zero_gravi
 
280
  -- Two Wire Interface (TWI) --
281 56 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; -- base address
282 61 zero_gravi
  constant twi_size_c           : natural := 2*4; -- module's address space size in bytes
283 56 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0";
284
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb4";
285 2 zero_gravi
 
286 61 zero_gravi
  -- True Random Number Generator (TRNG) --
287
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; -- base address
288
  constant trng_size_c          : natural := 1*4; -- module's address space size in bytes
289
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8";
290
 
291
  -- Watch Dog Timer (WDT) --
292
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; -- base address
293
  constant wdt_size_c           : natural := 1*4; -- module's address space size in bytes
294
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc";
295
 
296 63 zero_gravi
  -- General Purpose Input/Output Controller (GPIO) --
297 61 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; -- base address
298
  constant gpio_size_c          : natural := 4*4; -- module's address space size in bytes
299
  constant gpio_in_lo_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0";
300
  constant gpio_in_hi_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc4";
301
  constant gpio_out_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc8";
302
  constant gpio_out_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffcc";
303 2 zero_gravi
 
304 58 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --
305 56 zero_gravi
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; -- base address
306 61 zero_gravi
  constant uart1_size_c         : natural := 2*4; -- module's address space size in bytes
307 56 zero_gravi
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0";
308
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd4";
309 50 zero_gravi
 
310 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
311 56 zero_gravi
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; -- base address
312 61 zero_gravi
  constant neoled_size_c        : natural := 2*4; -- module's address space size in bytes
313 56 zero_gravi
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8";
314
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffdc";
315 12 zero_gravi
 
316 23 zero_gravi
  -- System Information Memory (SYSINFO) --
317 56 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffe0"; -- base address
318 61 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- module's address space size in bytes
319 12 zero_gravi
 
320 59 zero_gravi
  -- Main CPU Control Bus -------------------------------------------------------------------
321 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
322
  -- register file --
323 49 zero_gravi
  constant ctrl_rf_in_mux_c     : natural :=  0; -- input source select lsb (0=MEM, 1=ALU)
324
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
325
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
326
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
327
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
328
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
329
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
330
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
331
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
332
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
333
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
334 58 zero_gravi
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destination register address bit 0
335
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destination register address bit 1
336
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destination register address bit 2
337
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destination register address bit 3
338
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destination register address bit 4
339 49 zero_gravi
  constant ctrl_rf_wb_en_c      : natural := 16; -- write back enable
340 2 zero_gravi
  -- alu --
341 68 zero_gravi
  constant ctrl_alu_op0_c       : natural := 17; -- ALU operation select bit 0
342
  constant ctrl_alu_op1_c       : natural := 18; -- ALU operation select bit 1
343
  constant ctrl_alu_op2_c       : natural := 19; -- ALU operation select bit 2
344 62 zero_gravi
  constant ctrl_alu_func0_c     : natural := 20; -- ALU function select command bit 0
345
  constant ctrl_alu_func1_c     : natural := 21; -- ALU function select command bit 1
346 68 zero_gravi
  constant ctrl_alu_opa_mux_c   : natural := 22; -- operand A select (0=rs1, 1=PC)
347
  constant ctrl_alu_opb_mux_c   : natural := 23; -- operand B select (0=rs2, 1=IMM)
348
  constant ctrl_alu_unsigned_c  : natural := 24; -- is unsigned ALU operation
349
  constant ctrl_alu_shift_dir_c : natural := 25; -- shift direction (0=left, 1=right)
350
  constant ctrl_alu_shift_ar_c  : natural := 26; -- is arithmetic shift
351
  constant ctrl_alu_frm0_c      : natural := 27; -- FPU rounding mode bit 0
352
  constant ctrl_alu_frm1_c      : natural := 28; -- FPU rounding mode bit 1
353
  constant ctrl_alu_frm2_c      : natural := 29; -- FPU rounding mode bit 2
354 2 zero_gravi
  -- bus interface --
355 68 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 30; -- transfer size lsb (00=byte, 01=half-word)
356
  constant ctrl_bus_size_msb_c  : natural := 31; -- transfer size msb (10=word, 11=?)
357
  constant ctrl_bus_rd_c        : natural := 32; -- read data request
358
  constant ctrl_bus_wr_c        : natural := 33; -- write data request
359
  constant ctrl_bus_if_c        : natural := 34; -- instruction fetch request
360
  constant ctrl_bus_mo_we_c     : natural := 35; -- memory address and data output register write enable
361
  constant ctrl_bus_mi_we_c     : natural := 36; -- memory data input register write enable
362
  constant ctrl_bus_unsigned_c  : natural := 37; -- is unsigned load
363
  constant ctrl_bus_ierr_ack_c  : natural := 38; -- acknowledge instruction fetch bus exceptions
364
  constant ctrl_bus_derr_ack_c  : natural := 39; -- acknowledge data access bus exceptions
365
  constant ctrl_bus_fence_c     : natural := 40; -- executed fence operation
366
  constant ctrl_bus_fencei_c    : natural := 41; -- executed fencei operation
367
  constant ctrl_bus_lock_c      : natural := 42; -- make atomic/exclusive access lock
368
  constant ctrl_bus_de_lock_c   : natural := 43; -- remove atomic/exclusive access 
369
  constant ctrl_bus_ch_lock_c   : natural := 44; -- evaluate atomic/exclusive lock (SC operation)
370 26 zero_gravi
  -- co-processors --
371 68 zero_gravi
  constant ctrl_cp_id_lsb_c     : natural := 45; -- cp select ID lsb
372 71 zero_gravi
  constant ctrl_cp_id_hsb_c     : natural := 46; -- cp select ID "half" significant bit
373
  constant ctrl_cp_id_msb_c     : natural := 47; -- cp select ID msb
374 44 zero_gravi
  -- instruction's control blocks (used by cpu co-processors) --
375 71 zero_gravi
  constant ctrl_ir_funct3_0_c   : natural := 48; -- funct3 bit 0
376
  constant ctrl_ir_funct3_1_c   : natural := 49; -- funct3 bit 1
377
  constant ctrl_ir_funct3_2_c   : natural := 50; -- funct3 bit 2
378
  constant ctrl_ir_funct12_0_c  : natural := 51; -- funct12 bit 0
379
  constant ctrl_ir_funct12_1_c  : natural := 52; -- funct12 bit 1
380
  constant ctrl_ir_funct12_2_c  : natural := 53; -- funct12 bit 2
381
  constant ctrl_ir_funct12_3_c  : natural := 54; -- funct12 bit 3
382
  constant ctrl_ir_funct12_4_c  : natural := 55; -- funct12 bit 4
383
  constant ctrl_ir_funct12_5_c  : natural := 56; -- funct12 bit 5
384
  constant ctrl_ir_funct12_6_c  : natural := 57; -- funct12 bit 6
385
  constant ctrl_ir_funct12_7_c  : natural := 58; -- funct12 bit 7
386
  constant ctrl_ir_funct12_8_c  : natural := 59; -- funct12 bit 8
387
  constant ctrl_ir_funct12_9_c  : natural := 60; -- funct12 bit 9
388
  constant ctrl_ir_funct12_10_c : natural := 61; -- funct12 bit 10
389
  constant ctrl_ir_funct12_11_c : natural := 62; -- funct12 bit 11
390
  constant ctrl_ir_opcode7_0_c  : natural := 63; -- opcode7 bit 0
391
  constant ctrl_ir_opcode7_1_c  : natural := 64; -- opcode7 bit 1
392
  constant ctrl_ir_opcode7_2_c  : natural := 65; -- opcode7 bit 2
393
  constant ctrl_ir_opcode7_3_c  : natural := 66; -- opcode7 bit 3
394
  constant ctrl_ir_opcode7_4_c  : natural := 67; -- opcode7 bit 4
395
  constant ctrl_ir_opcode7_5_c  : natural := 68; -- opcode7 bit 5
396
  constant ctrl_ir_opcode7_6_c  : natural := 69; -- opcode7 bit 6
397 47 zero_gravi
  -- CPU status --
398 71 zero_gravi
  constant ctrl_priv_lvl_lsb_c  : natural := 70; -- privilege level lsb
399
  constant ctrl_priv_lvl_msb_c  : natural := 71; -- privilege level msb
400
  constant ctrl_sleep_c         : natural := 72; -- set when CPU is in sleep mode
401
  constant ctrl_trap_c          : natural := 73; -- set when CPU is entering trap execution
402
  constant ctrl_debug_running_c : natural := 74; -- CPU is in debug mode when set
403 2 zero_gravi
  -- control bus size --
404 71 zero_gravi
  constant ctrl_width_c         : natural := 75; -- control bus size
405 2 zero_gravi
 
406 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
407 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
408 47 zero_gravi
  constant cmp_equal_c : natural := 0;
409
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
410 2 zero_gravi
 
411
  -- RISC-V Opcode Layout -------------------------------------------------------------------
412
  -- -------------------------------------------------------------------------------------------
413
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
414
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
415
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
416
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
417
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
418
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
419
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
420
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
421
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
422
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
423
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
424
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
425
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
426
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
427
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
428
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
429
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
430
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
431
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
432
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
433 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
434
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
435 2 zero_gravi
 
436
  -- RISC-V Opcodes -------------------------------------------------------------------------
437
  -- -------------------------------------------------------------------------------------------
438
  -- alu --
439
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
440
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
441
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
442
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
443
  -- control flow --
444
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
445 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
446 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
447
  -- memory access --
448
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
449
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
450
  -- system/csr --
451 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
452 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
453 52 zero_gravi
  -- atomic memory access (A) --
454 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
455 53 zero_gravi
  -- floating point operations (Zfinx-only) (F/D/H/Q) --
456 66 zero_gravi
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single operand instruction
457 2 zero_gravi
 
458
  -- RISC-V Funct3 --------------------------------------------------------------------------
459
  -- -------------------------------------------------------------------------------------------
460
  -- control flow --
461
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
462
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
463
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
464
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
465
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
466
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
467
  -- memory access --
468
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
469
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
470
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
471
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
472
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
473
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
474
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
475
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
476
  -- alu --
477
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
478
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
479
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
480
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
481
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
482
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
483
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
484
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
485
  -- system/csr --
486 59 zero_gravi
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi, ...
487 2 zero_gravi
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- atomic r/w
488
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- atomic read & set bit
489
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- atomic read & clear bit
490
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- atomic r/w immediate
491
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- atomic read & set bit immediate
492
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- atomic read & clear bit immediate
493 8 zero_gravi
  -- fence --
494
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access (->NOP)
495 66 zero_gravi
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instruction stream sync
496 2 zero_gravi
 
497 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
498 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
499
  -- system --
500
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ECALL
501
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- EBREAK
502
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- MRET
503
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- WFI
504 59 zero_gravi
  constant funct12_dret_c   : std_ulogic_vector(11 downto 0) := x"7b2"; -- DRET
505 11 zero_gravi
 
506 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
507
  -- -------------------------------------------------------------------------------------------
508
  -- atomic operations --
509
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- LR
510
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- SC
511
 
512 54 zero_gravi
  -- RISC-V Floating-Point Stuff ------------------------------------------------------------
513 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
514 54 zero_gravi
  -- formats --
515
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precision (32-bit)
516
  constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precision (64-bit)
517
  constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precision (16-bit)
518
  constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precision (128-bit)
519 52 zero_gravi
 
520 54 zero_gravi
  -- number class flags --
521
  constant fp_class_neg_inf_c    : natural := 0; -- negative infinity
522
  constant fp_class_neg_norm_c   : natural := 1; -- negative normal number
523
  constant fp_class_neg_denorm_c : natural := 2; -- negative subnormal number
524
  constant fp_class_neg_zero_c   : natural := 3; -- negative zero
525
  constant fp_class_pos_zero_c   : natural := 4; -- positive zero
526
  constant fp_class_pos_denorm_c : natural := 5; -- positive subnormal number
527
  constant fp_class_pos_norm_c   : natural := 6; -- positive normal number
528
  constant fp_class_pos_inf_c    : natural := 7; -- positive infinity
529
  constant fp_class_snan_c       : natural := 8; -- signaling NaN (sNaN)
530
  constant fp_class_qnan_c       : natural := 9; -- quiet NaN (qNaN)
531
 
532
  -- exception flags --
533
  constant fp_exc_nv_c : natural := 0; -- invalid operation
534
  constant fp_exc_dz_c : natural := 1; -- divide by zero
535
  constant fp_exc_of_c : natural := 2; -- overflow
536
  constant fp_exc_uf_c : natural := 3; -- underflow
537
  constant fp_exc_nx_c : natural := 4; -- inexact
538
 
539
  -- special values (single-precision) --
540
  constant fp_single_qnan_c     : std_ulogic_vector(31 downto 0) := x"7fc00000"; -- quiet NaN
541
  constant fp_single_snan_c     : std_ulogic_vector(31 downto 0) := x"7fa00000"; -- signaling NaN
542
  constant fp_single_pos_inf_c  : std_ulogic_vector(31 downto 0) := x"7f800000"; -- positive infinity
543
  constant fp_single_neg_inf_c  : std_ulogic_vector(31 downto 0) := x"ff800000"; -- negative infinity
544
  constant fp_single_pos_zero_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- positive zero
545
  constant fp_single_neg_zero_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- negative zero
546
 
547 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
548
  -- -------------------------------------------------------------------------------------------
549 56 zero_gravi
  -- <<< standard read/write CSRs >>> --
550
  -- user floating-point CSRs --
551 68 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(09 downto 0) := x"00" & "00"; -- floating point
552 52 zero_gravi
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
553
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
554
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
555 56 zero_gravi
  -- machine trap setup --
556 63 zero_gravi
  constant csr_class_setup_c    : std_ulogic_vector(08 downto 0) := x"30" & '0'; -- trap setup
557 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
558
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
559
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
560
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
561
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
562 62 zero_gravi
  --
563
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
564 64 zero_gravi
  -- machine configuration --
565
  constant csr_class_envcfg_c   : std_ulogic_vector(06 downto 0) := x"3" & "000"; -- configuration
566
  constant csr_menvcfg_c        : std_ulogic_vector(11 downto 0) := x"30a";
567
  constant csr_menvcfgh_c       : std_ulogic_vector(11 downto 0) := x"31a";
568 56 zero_gravi
  -- machine counter setup --
569
  constant csr_cnt_setup_c      : std_ulogic_vector(06 downto 0) := x"3" & "001"; -- counter setup
570 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
571
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
572
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
573
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
574
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
575
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
576
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
577
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
578
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
579
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
580
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
581
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
582
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
583
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
584
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
585
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
586
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
587
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
588
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
589
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
590
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
591
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
592
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
593
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
594
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
595
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
596
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
597
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
598
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
599
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
600 56 zero_gravi
  -- machine trap handling --
601 69 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
602 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
603
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
604
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
605
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
606
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
607 56 zero_gravi
  -- physical memory protection - configuration --
608 52 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(07 downto 0) := x"3a"; -- pmp configuration
609 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
610
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
611
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
612
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
613
  constant csr_pmpcfg4_c        : std_ulogic_vector(11 downto 0) := x"3a4";
614
  constant csr_pmpcfg5_c        : std_ulogic_vector(11 downto 0) := x"3a5";
615
  constant csr_pmpcfg6_c        : std_ulogic_vector(11 downto 0) := x"3a6";
616
  constant csr_pmpcfg7_c        : std_ulogic_vector(11 downto 0) := x"3a7";
617
  constant csr_pmpcfg8_c        : std_ulogic_vector(11 downto 0) := x"3a8";
618
  constant csr_pmpcfg9_c        : std_ulogic_vector(11 downto 0) := x"3a9";
619
  constant csr_pmpcfg10_c       : std_ulogic_vector(11 downto 0) := x"3aa";
620
  constant csr_pmpcfg11_c       : std_ulogic_vector(11 downto 0) := x"3ab";
621
  constant csr_pmpcfg12_c       : std_ulogic_vector(11 downto 0) := x"3ac";
622
  constant csr_pmpcfg13_c       : std_ulogic_vector(11 downto 0) := x"3ad";
623
  constant csr_pmpcfg14_c       : std_ulogic_vector(11 downto 0) := x"3ae";
624
  constant csr_pmpcfg15_c       : std_ulogic_vector(11 downto 0) := x"3af";
625 56 zero_gravi
  -- physical memory protection - address --
626 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
627
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
628
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
629
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
630
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
631
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
632
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
633
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
634
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
635
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
636
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
637
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
638
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
639
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
640
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
641
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
642
  constant csr_pmpaddr16_c      : std_ulogic_vector(11 downto 0) := x"3c0";
643
  constant csr_pmpaddr17_c      : std_ulogic_vector(11 downto 0) := x"3c1";
644
  constant csr_pmpaddr18_c      : std_ulogic_vector(11 downto 0) := x"3c2";
645
  constant csr_pmpaddr19_c      : std_ulogic_vector(11 downto 0) := x"3c3";
646
  constant csr_pmpaddr20_c      : std_ulogic_vector(11 downto 0) := x"3c4";
647
  constant csr_pmpaddr21_c      : std_ulogic_vector(11 downto 0) := x"3c5";
648
  constant csr_pmpaddr22_c      : std_ulogic_vector(11 downto 0) := x"3c6";
649
  constant csr_pmpaddr23_c      : std_ulogic_vector(11 downto 0) := x"3c7";
650
  constant csr_pmpaddr24_c      : std_ulogic_vector(11 downto 0) := x"3c8";
651
  constant csr_pmpaddr25_c      : std_ulogic_vector(11 downto 0) := x"3c9";
652
  constant csr_pmpaddr26_c      : std_ulogic_vector(11 downto 0) := x"3ca";
653
  constant csr_pmpaddr27_c      : std_ulogic_vector(11 downto 0) := x"3cb";
654
  constant csr_pmpaddr28_c      : std_ulogic_vector(11 downto 0) := x"3cc";
655
  constant csr_pmpaddr29_c      : std_ulogic_vector(11 downto 0) := x"3cd";
656
  constant csr_pmpaddr30_c      : std_ulogic_vector(11 downto 0) := x"3ce";
657
  constant csr_pmpaddr31_c      : std_ulogic_vector(11 downto 0) := x"3cf";
658
  constant csr_pmpaddr32_c      : std_ulogic_vector(11 downto 0) := x"3d0";
659
  constant csr_pmpaddr33_c      : std_ulogic_vector(11 downto 0) := x"3d1";
660
  constant csr_pmpaddr34_c      : std_ulogic_vector(11 downto 0) := x"3d2";
661
  constant csr_pmpaddr35_c      : std_ulogic_vector(11 downto 0) := x"3d3";
662
  constant csr_pmpaddr36_c      : std_ulogic_vector(11 downto 0) := x"3d4";
663
  constant csr_pmpaddr37_c      : std_ulogic_vector(11 downto 0) := x"3d5";
664
  constant csr_pmpaddr38_c      : std_ulogic_vector(11 downto 0) := x"3d6";
665
  constant csr_pmpaddr39_c      : std_ulogic_vector(11 downto 0) := x"3d7";
666
  constant csr_pmpaddr40_c      : std_ulogic_vector(11 downto 0) := x"3d8";
667
  constant csr_pmpaddr41_c      : std_ulogic_vector(11 downto 0) := x"3d9";
668
  constant csr_pmpaddr42_c      : std_ulogic_vector(11 downto 0) := x"3da";
669
  constant csr_pmpaddr43_c      : std_ulogic_vector(11 downto 0) := x"3db";
670
  constant csr_pmpaddr44_c      : std_ulogic_vector(11 downto 0) := x"3dc";
671
  constant csr_pmpaddr45_c      : std_ulogic_vector(11 downto 0) := x"3dd";
672
  constant csr_pmpaddr46_c      : std_ulogic_vector(11 downto 0) := x"3de";
673
  constant csr_pmpaddr47_c      : std_ulogic_vector(11 downto 0) := x"3df";
674
  constant csr_pmpaddr48_c      : std_ulogic_vector(11 downto 0) := x"3e0";
675
  constant csr_pmpaddr49_c      : std_ulogic_vector(11 downto 0) := x"3e1";
676
  constant csr_pmpaddr50_c      : std_ulogic_vector(11 downto 0) := x"3e2";
677
  constant csr_pmpaddr51_c      : std_ulogic_vector(11 downto 0) := x"3e3";
678
  constant csr_pmpaddr52_c      : std_ulogic_vector(11 downto 0) := x"3e4";
679
  constant csr_pmpaddr53_c      : std_ulogic_vector(11 downto 0) := x"3e5";
680
  constant csr_pmpaddr54_c      : std_ulogic_vector(11 downto 0) := x"3e6";
681
  constant csr_pmpaddr55_c      : std_ulogic_vector(11 downto 0) := x"3e7";
682
  constant csr_pmpaddr56_c      : std_ulogic_vector(11 downto 0) := x"3e8";
683
  constant csr_pmpaddr57_c      : std_ulogic_vector(11 downto 0) := x"3e9";
684
  constant csr_pmpaddr58_c      : std_ulogic_vector(11 downto 0) := x"3ea";
685
  constant csr_pmpaddr59_c      : std_ulogic_vector(11 downto 0) := x"3eb";
686
  constant csr_pmpaddr60_c      : std_ulogic_vector(11 downto 0) := x"3ec";
687
  constant csr_pmpaddr61_c      : std_ulogic_vector(11 downto 0) := x"3ed";
688
  constant csr_pmpaddr62_c      : std_ulogic_vector(11 downto 0) := x"3ee";
689
  constant csr_pmpaddr63_c      : std_ulogic_vector(11 downto 0) := x"3ef";
690 59 zero_gravi
  -- debug mode registers --
691
  constant csr_class_debug_c    : std_ulogic_vector(09 downto 0) := x"7b" & "00"; -- debug registers
692
  constant csr_dcsr_c           : std_ulogic_vector(11 downto 0) := x"7b0";
693
  constant csr_dpc_c            : std_ulogic_vector(11 downto 0) := x"7b1";
694
  constant csr_dscratch0_c      : std_ulogic_vector(11 downto 0) := x"7b2";
695 56 zero_gravi
  -- machine counters/timers --
696 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
697
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
698
  --
699
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
700
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
701
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
702
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
703
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
704
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
705
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
706
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
707
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
708
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
709
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
710
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
711
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
712
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
713
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
714
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
715
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
716
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
717
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
718
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
719
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
720
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
721
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
722
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
723
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
724
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
725
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
726
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
727
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
728
  --
729
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
730
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
731
  --
732
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
733
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
734
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
735
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
736
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
737
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
738
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
739
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
740
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
741
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
742
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
743
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
744
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
745
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
746
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
747
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
748
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
749
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
750
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
751
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
752
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
753
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
754
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
755
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
756
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
757
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
758
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
759
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
760
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
761
 
762 56 zero_gravi
  -- <<< standard read-only CSRs >>> --
763
  -- user counters/timers --
764 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
765
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
766
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
767
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
768
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
769
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
770 56 zero_gravi
  -- machine information registers --
771 42 zero_gravi
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
772
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
773
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
774
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
775 62 zero_gravi
  constant csr_mconfigptr_c     : std_ulogic_vector(11 downto 0) := x"f15";
776 42 zero_gravi
 
777 44 zero_gravi
  -- Co-Processor IDs -----------------------------------------------------------------------
778 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
779 71 zero_gravi
  constant cp_sel_shifter_c  : std_ulogic_vector(2 downto 0) := "000"; -- CP0: shift operations (base ISA)
780
  constant cp_sel_muldiv_c   : std_ulogic_vector(2 downto 0) := "001"; -- CP1: multiplication/division operations ('M' extensions)
781
  constant cp_sel_bitmanip_c : std_ulogic_vector(2 downto 0) := "010"; -- CP2: bit manipulation ('B' extensions)
782
  constant cp_sel_fpu_c      : std_ulogic_vector(2 downto 0) := "011"; -- CP3: floating-point unit ('Zfinx' extension)
783
--constant cp_sel_res0_c     : std_ulogic_vector(2 downto 0) := "100"; -- CP4: reserved
784
--constant cp_sel_res1_c     : std_ulogic_vector(2 downto 0) := "101"; -- CP5: reserved
785
--constant cp_sel_res2_c     : std_ulogic_vector(2 downto 0) := "110"; -- CP6: reserved
786
--constant cp_sel_res3_c     : std_ulogic_vector(2 downto 0) := "111"; -- CP7: reserved
787 2 zero_gravi
 
788
  -- ALU Function Codes ---------------------------------------------------------------------
789
  -- -------------------------------------------------------------------------------------------
790 68 zero_gravi
  -- ALU core [DO NOT CHANGE ENCODING!] --
791
  constant alu_op_add_c     : std_ulogic_vector(2 downto 0) := "000"; -- alu_result <= A + B
792
  constant alu_op_sub_c     : std_ulogic_vector(2 downto 0) := "001"; -- alu_result <= A - B
793
--constant alu_op_mova_c    : std_ulogic_vector(2 downto 0) := "010"; -- alu_result <= A (rs1)
794
  constant alu_op_slt_c     : std_ulogic_vector(2 downto 0) := "011"; -- alu_result <= A < B
795
  constant alu_op_movb_c    : std_ulogic_vector(2 downto 0) := "100"; -- alu_result <= B
796
  constant alu_op_xor_c     : std_ulogic_vector(2 downto 0) := "101"; -- alu_result <= A xor B
797
  constant alu_op_or_c      : std_ulogic_vector(2 downto 0) := "110"; -- alu_result <= A or B
798
  constant alu_op_and_c     : std_ulogic_vector(2 downto 0) := "111"; -- alu_result <= A and B
799
  -- function select (actual ALU result) --
800
  constant alu_func_core_c  : std_ulogic_vector(1 downto 0) := "00"; -- r <= alu_result
801
  constant alu_func_nxpc_c  : std_ulogic_vector(1 downto 0) := "01"; -- r <= next_PC
802
  constant alu_func_csrr_c  : std_ulogic_vector(1 downto 0) := "10"; -- r <= CSR read
803
  constant alu_func_copro_c : std_ulogic_vector(1 downto 0) := "11"; -- r <= CP result (multi-cycle)
804 2 zero_gravi
 
805 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
806
  -- -------------------------------------------------------------------------------------------
807 64 zero_gravi
  -- MSB:   1 = async exception (IRQ), 0 = sync exception (e.g. ebreak)
808
  -- MSB-1: 1 = entry to debug mode, 0 = normal trapping
809 48 zero_gravi
  -- RISC-V compliant sync. exceptions --
810 59 zero_gravi
  constant trap_ima_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00000"; -- 0.0:  instruction misaligned
811
  constant trap_iba_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00001"; -- 0.1:  instruction access fault
812
  constant trap_iil_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00010"; -- 0.2:  illegal instruction
813
  constant trap_brk_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00011"; -- 0.3:  breakpoint
814
  constant trap_lma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00100"; -- 0.4:  load address misaligned
815
  constant trap_lbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00101"; -- 0.5:  load access fault
816
  constant trap_sma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00110"; -- 0.6:  store address misaligned
817
  constant trap_sbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00111"; -- 0.7:  store access fault
818
  constant trap_uenv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01000"; -- 0.8:  environment call from u-mode
819
  constant trap_menv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01011"; -- 0.11: environment call from m-mode
820 48 zero_gravi
  -- RISC-V compliant interrupts (async. exceptions) --
821 59 zero_gravi
  constant trap_msi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00011"; -- 1.3:  machine software interrupt
822
  constant trap_mti_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00111"; -- 1.7:  machine timer interrupt
823
  constant trap_mei_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "01011"; -- 1.11: machine external interrupt
824 48 zero_gravi
  -- NEORV32-specific (custom) interrupts (async. exceptions) --
825 59 zero_gravi
  constant trap_firq0_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10000"; -- 1.16: fast interrupt 0
826
  constant trap_firq1_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10001"; -- 1.17: fast interrupt 1
827
  constant trap_firq2_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10010"; -- 1.18: fast interrupt 2
828
  constant trap_firq3_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10011"; -- 1.19: fast interrupt 3
829
  constant trap_firq4_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10100"; -- 1.20: fast interrupt 4
830
  constant trap_firq5_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10101"; -- 1.21: fast interrupt 5
831
  constant trap_firq6_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10110"; -- 1.22: fast interrupt 6
832
  constant trap_firq7_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10111"; -- 1.23: fast interrupt 7
833
  constant trap_firq8_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11000"; -- 1.24: fast interrupt 8
834
  constant trap_firq9_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11001"; -- 1.25: fast interrupt 9
835
  constant trap_firq10_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11010"; -- 1.26: fast interrupt 10
836
  constant trap_firq11_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11011"; -- 1.27: fast interrupt 11
837
  constant trap_firq12_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11100"; -- 1.28: fast interrupt 12
838
  constant trap_firq13_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11101"; -- 1.29: fast interrupt 13
839
  constant trap_firq14_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11110"; -- 1.30: fast interrupt 14
840
  constant trap_firq15_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11111"; -- 1.31: fast interrupt 15
841
  -- entering debug mode - cause --
842
  constant trap_db_break_c : std_ulogic_vector(6 downto 0) := "0" & "1" & "00010"; -- break instruction (sync / EXCEPTION)
843
  constant trap_db_halt_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00011"; -- external halt request (async / IRQ)
844
  constant trap_db_step_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00100"; -- single-stepping (async / IRQ)
845 12 zero_gravi
 
846 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
847
  -- -------------------------------------------------------------------------------------------
848
  -- exception source bits --
849 59 zero_gravi
  constant exception_iaccess_c   : natural :=  0; -- instruction access fault
850
  constant exception_iillegal_c  : natural :=  1; -- illegal instruction
851
  constant exception_ialign_c    : natural :=  2; -- instruction address misaligned
852 47 zero_gravi
  constant exception_m_envcall_c : natural :=  3; -- ENV call from m-mode
853
  constant exception_u_envcall_c : natural :=  4; -- ENV call from u-mode
854
  constant exception_break_c     : natural :=  5; -- breakpoint
855
  constant exception_salign_c    : natural :=  6; -- store address misaligned
856
  constant exception_lalign_c    : natural :=  7; -- load address misaligned
857
  constant exception_saccess_c   : natural :=  8; -- store access fault
858
  constant exception_laccess_c   : natural :=  9; -- load access fault
859 59 zero_gravi
  -- for debug mode only --
860
  constant exception_db_break_c  : natural := 10; -- enter debug mode via ebreak instruction ("sync EXCEPTION")
861 14 zero_gravi
  --
862 59 zero_gravi
  constant exception_width_c     : natural := 11; -- length of this list in bits
863 2 zero_gravi
  -- interrupt source bits --
864 64 zero_gravi
  constant interrupt_msw_irq_c   : natural :=  0; -- machine software interrupt
865
  constant interrupt_mtime_irq_c : natural :=  1; -- machine timer interrupt
866
  constant interrupt_mext_irq_c  : natural :=  2; -- machine external interrupt
867
  constant interrupt_firq_0_c    : natural :=  3; -- fast interrupt channel 0
868
  constant interrupt_firq_1_c    : natural :=  4; -- fast interrupt channel 1
869
  constant interrupt_firq_2_c    : natural :=  5; -- fast interrupt channel 2
870
  constant interrupt_firq_3_c    : natural :=  6; -- fast interrupt channel 3
871
  constant interrupt_firq_4_c    : natural :=  7; -- fast interrupt channel 4
872
  constant interrupt_firq_5_c    : natural :=  8; -- fast interrupt channel 5
873
  constant interrupt_firq_6_c    : natural :=  9; -- fast interrupt channel 6
874
  constant interrupt_firq_7_c    : natural := 10; -- fast interrupt channel 7
875
  constant interrupt_firq_8_c    : natural := 11; -- fast interrupt channel 8
876
  constant interrupt_firq_9_c    : natural := 12; -- fast interrupt channel 9
877
  constant interrupt_firq_10_c   : natural := 13; -- fast interrupt channel 10
878
  constant interrupt_firq_11_c   : natural := 14; -- fast interrupt channel 11
879
  constant interrupt_firq_12_c   : natural := 15; -- fast interrupt channel 12
880
  constant interrupt_firq_13_c   : natural := 16; -- fast interrupt channel 13
881
  constant interrupt_firq_14_c   : natural := 17; -- fast interrupt channel 14
882
  constant interrupt_firq_15_c   : natural := 18; -- fast interrupt channel 15
883 59 zero_gravi
  -- for debug mode only --
884 64 zero_gravi
  constant interrupt_db_halt_c   : natural := 19; -- enter debug mode via external halt request ("async IRQ")
885
  constant interrupt_db_step_c   : natural := 20; -- enter debug mode via single-stepping ("async IRQ")
886 14 zero_gravi
  --
887 64 zero_gravi
  constant interrupt_width_c     : natural := 21; -- length of this list in bits
888 2 zero_gravi
 
889 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
890
  -- -------------------------------------------------------------------------------------------
891 29 zero_gravi
  constant priv_mode_m_c : std_ulogic_vector(1 downto 0) := "11"; -- machine mode
892
  constant priv_mode_u_c : std_ulogic_vector(1 downto 0) := "00"; -- user mode
893 15 zero_gravi
 
894 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
895
  -- -------------------------------------------------------------------------------------------
896
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
897 56 zero_gravi
  constant hpmcnt_event_never_c   : natural := 1;  -- Unused / never (actually, this would be used for TIME)
898 42 zero_gravi
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
899
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
900
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
901
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
902 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
903
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
904
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
905
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
906
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
907
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
908
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
909
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
910
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
911 42 zero_gravi
  --
912 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
913 42 zero_gravi
 
914 39 zero_gravi
  -- Clock Generator ------------------------------------------------------------------------
915 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
916
  constant clk_div2_c    : natural := 0;
917
  constant clk_div4_c    : natural := 1;
918
  constant clk_div8_c    : natural := 2;
919
  constant clk_div64_c   : natural := 3;
920
  constant clk_div128_c  : natural := 4;
921
  constant clk_div1024_c : natural := 5;
922
  constant clk_div2048_c : natural := 6;
923
  constant clk_div4096_c : natural := 7;
924
 
925
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
926
  -- -------------------------------------------------------------------------------------------
927
  component neorv32_top
928
    generic (
929
      -- General --
930 62 zero_gravi
      CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
931 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
932 62 zero_gravi
      INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
933 59 zero_gravi
      -- On-Chip Debugger (OCD) --
934
      ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
935 2 zero_gravi
      -- RISC-V CPU Extensions --
936 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
937 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
938 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
939 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
940 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
941 18 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
942 57 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
943 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
944 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
945
      CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
946 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
947 62 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
948 19 zero_gravi
      -- Extension Options --
949 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
950
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
951 56 zero_gravi
      CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
952 62 zero_gravi
      CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
953 15 zero_gravi
      -- Physical Memory Protection (PMP) --
954 42 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..64)
955
      PMP_MIN_GRANULARITY          : natural := 64*1024; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
956
      -- Hardware Performance Monitors (HPM) --
957 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
958 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
959 61 zero_gravi
      -- Internal Instruction memory (IMEM) --
960 62 zero_gravi
      MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
961 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
962 61 zero_gravi
      -- Internal Data memory (DMEM) --
963 62 zero_gravi
      MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
964 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
965 70 zero_gravi
      -- Internal Instruction Cache (iCACHE) --
966 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
967 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
968
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
969 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
970 61 zero_gravi
      -- External memory interface (WISHBONE) --
971 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
972 57 zero_gravi
      MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
973 62 zero_gravi
      MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
974
      MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
975
      MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
976 61 zero_gravi
      -- Stream link interface (SLINK) --
977
      SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
978
      SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
979
      SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
980
      SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
981
      -- External Interrupts Controller (XIRQ) --
982
      XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
983 62 zero_gravi
      XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
984
      XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
985 2 zero_gravi
      -- Processor peripherals --
986 62 zero_gravi
      IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
987
      IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
988
      IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
989 65 zero_gravi
      IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
990
      IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
991 62 zero_gravi
      IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
992 65 zero_gravi
      IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
993
      IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
994 62 zero_gravi
      IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
995
      IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
996
      IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
997
      IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
998 44 zero_gravi
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
999 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
1000 56 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
1001 52 zero_gravi
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
1002
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
1003 62 zero_gravi
      IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1004 67 zero_gravi
      IO_NEOLED_TX_FIFO            : natural := 1;      -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
1005 70 zero_gravi
      IO_GPTMR_EN                  : boolean := false;  -- implement general purpose timer (GPTMR)?
1006
      IO_XIP_EN                    : boolean := false   -- implement execute in place module (XIP)?
1007 2 zero_gravi
    );
1008
    port (
1009
      -- Global control --
1010 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1011
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
1012 59 zero_gravi
      -- JTAG on-chip debugger interface --
1013 62 zero_gravi
      jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
1014
      jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
1015
      jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
1016 61 zero_gravi
      jtag_tdo_o     : out std_ulogic;        -- serial data output
1017 62 zero_gravi
      jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
1018 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
1019 61 zero_gravi
      wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
1020
      wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
1021 62 zero_gravi
      wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
1022 61 zero_gravi
      wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
1023
      wb_we_o        : out std_ulogic; -- read/write
1024
      wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
1025
      wb_stb_o       : out std_ulogic; -- strobe
1026
      wb_cyc_o       : out std_ulogic; -- valid cycle
1027
      wb_lock_o      : out std_ulogic; -- exclusive access request
1028 62 zero_gravi
      wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
1029
      wb_err_i       : in  std_ulogic := 'L'; -- transfer error
1030 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
1031 61 zero_gravi
      fence_o        : out std_ulogic; -- indicates an executed FENCE operation
1032
      fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
1033 70 zero_gravi
      -- XIP (execute in place via SPI) signals (available if IO_XIP_EN = true) --
1034
      xip_csn_o      : out std_ulogic; -- chip-select, low-active
1035
      xip_clk_o      : out std_ulogic; -- serial clock
1036
      xip_sdi_i      : in  std_ulogic := 'L'; -- device data input
1037
      xip_sdo_o      : out std_ulogic; -- controller data output
1038 61 zero_gravi
      -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
1039
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1040
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1041 62 zero_gravi
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
1042 61 zero_gravi
      -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
1043 62 zero_gravi
      slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
1044
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
1045 61 zero_gravi
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
1046 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
1047 61 zero_gravi
      gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
1048 62 zero_gravi
      gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
1049 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
1050 61 zero_gravi
      uart0_txd_o    : out std_ulogic; -- UART0 send data
1051 62 zero_gravi
      uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
1052 61 zero_gravi
      uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
1053 62 zero_gravi
      uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
1054 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
1055 61 zero_gravi
      uart1_txd_o    : out std_ulogic; -- UART1 send data
1056 62 zero_gravi
      uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
1057 61 zero_gravi
      uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
1058 62 zero_gravi
      uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
1059 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
1060 61 zero_gravi
      spi_sck_o      : out std_ulogic; -- SPI serial clock
1061
      spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
1062 62 zero_gravi
      spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
1063 61 zero_gravi
      spi_csn_o      : out std_ulogic_vector(07 downto 0); -- SPI CS
1064 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
1065 62 zero_gravi
      twi_sda_io     : inout std_logic := 'U'; -- twi serial data line
1066
      twi_scl_io     : inout std_logic := 'U'; -- twi serial clock line
1067 60 zero_gravi
      -- PWM (available if IO_PWM_NUM_CH > 0) --
1068 70 zero_gravi
      pwm_o          : out std_ulogic_vector(59 downto 0); -- pwm channels
1069 47 zero_gravi
      -- Custom Functions Subsystem IO --
1070 62 zero_gravi
      cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
1071 61 zero_gravi
      cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
1072 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
1073 61 zero_gravi
      neoled_o       : out std_ulogic; -- async serial data line
1074 59 zero_gravi
      -- System time --
1075 62 zero_gravi
      mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
1076 61 zero_gravi
      mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
1077
      -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
1078 70 zero_gravi
      xirq_i         : in  std_ulogic_vector(31 downto 0) := (others => 'L'); -- IRQ channels
1079 61 zero_gravi
      -- CPU Interrupts --
1080 62 zero_gravi
      mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
1081
      msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
1082
      mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
1083 2 zero_gravi
    );
1084
  end component;
1085
 
1086 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
1087
  -- -------------------------------------------------------------------------------------------
1088
  component neorv32_cpu
1089
    generic (
1090
      -- General --
1091 62 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1092
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1093
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1094 4 zero_gravi
      -- RISC-V CPU Extensions --
1095 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1096 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1097 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1098
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1099
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1100
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1101
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1102
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1103 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1104
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1105 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1106
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1107
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1108 19 zero_gravi
      -- Extension Options --
1109 62 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1110
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1111
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1112
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1113 15 zero_gravi
      -- Physical Memory Protection (PMP) --
1114 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1115
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1116 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1117 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1118
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1119 4 zero_gravi
    );
1120
    port (
1121
      -- global control --
1122 71 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1123
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1124
      sleep_o       : out std_ulogic; -- cpu is in sleep mode when set
1125
      debug_o       : out std_ulogic; -- cpu is in debug mode when set
1126 12 zero_gravi
      -- instruction bus interface --
1127 71 zero_gravi
      i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1128
      i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1129
      i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1130
      i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1131
      i_bus_we_o    : out std_ulogic; -- write enable
1132
      i_bus_re_o    : out std_ulogic; -- read enable
1133
      i_bus_lock_o  : out std_ulogic; -- exclusive access request
1134
      i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1135
      i_bus_err_i   : in  std_ulogic; -- bus transfer error
1136
      i_bus_fence_o : out std_ulogic; -- executed FENCEI operation
1137
      i_bus_priv_o  : out std_ulogic_vector(1 downto 0); -- privilege level
1138 12 zero_gravi
      -- data bus interface --
1139 71 zero_gravi
      d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1140
      d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1141
      d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1142
      d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1143
      d_bus_we_o    : out std_ulogic; -- write enable
1144
      d_bus_re_o    : out std_ulogic; -- read enable
1145
      d_bus_lock_o  : out std_ulogic; -- exclusive access request
1146
      d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1147
      d_bus_err_i   : in  std_ulogic; -- bus transfer error
1148
      d_bus_fence_o : out std_ulogic; -- executed FENCE operation
1149
      d_bus_priv_o  : out std_ulogic_vector(1 downto 0); -- privilege level
1150 11 zero_gravi
      -- system time input from MTIME --
1151 71 zero_gravi
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1152 14 zero_gravi
      -- interrupts (risc-v compliant) --
1153 71 zero_gravi
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1154
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1155
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1156 14 zero_gravi
      -- fast interrupts (custom) --
1157 71 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1158 59 zero_gravi
      -- debug mode (halt) request --
1159 71 zero_gravi
      db_halt_req_i : in  std_ulogic
1160 4 zero_gravi
    );
1161
  end component;
1162
 
1163 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1164
  -- -------------------------------------------------------------------------------------------
1165
  component neorv32_cpu_control
1166
    generic (
1167
      -- General --
1168 70 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1169 62 zero_gravi
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1170
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1171 2 zero_gravi
      -- RISC-V CPU Extensions --
1172 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1173 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1174 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1175
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1176
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1177
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1178
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1179
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1180 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1181
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1182 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1183
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1184
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1185 56 zero_gravi
      -- Extension Options --
1186 62 zero_gravi
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1187
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1188 15 zero_gravi
      -- Physical memory protection (PMP) --
1189 62 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
1190
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1191 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1192 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1193
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1194 2 zero_gravi
    );
1195
    port (
1196
      -- global control --
1197
      clk_i         : in  std_ulogic; -- global clock, rising edge
1198
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1199
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1200
      -- status input --
1201 61 zero_gravi
      alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
1202 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1203
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1204 57 zero_gravi
      excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
1205 2 zero_gravi
      -- data input --
1206
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1207
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1208 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1209 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1210 2 zero_gravi
      -- data output --
1211
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1212 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1213
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1214 68 zero_gravi
      next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to next instruction)
1215 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1216 52 zero_gravi
      -- FPU interface --
1217
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1218 59 zero_gravi
      -- debug mode (halt) request --
1219
      db_halt_req_i : in  std_ulogic;
1220 14 zero_gravi
      -- interrupts (risc-v compliant) --
1221
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1222
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1223 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1224 14 zero_gravi
      -- fast interrupts (custom) --
1225 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1226 11 zero_gravi
      -- system time input from MTIME --
1227
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1228 15 zero_gravi
      -- physical memory protection --
1229
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1230
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1231 2 zero_gravi
      -- bus access exceptions --
1232
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1233
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1234
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1235
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1236
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1237
      be_load_i     : in  std_ulogic; -- bus error on load data access
1238 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1239 2 zero_gravi
    );
1240
  end component;
1241
 
1242
  -- Component: CPU Register File -----------------------------------------------------------
1243
  -- -------------------------------------------------------------------------------------------
1244
  component neorv32_cpu_regfile
1245
    generic (
1246 62 zero_gravi
      CPU_EXTENSION_RISCV_E : boolean -- implement embedded RF extension?
1247 2 zero_gravi
    );
1248
    port (
1249
      -- global control --
1250
      clk_i  : in  std_ulogic; -- global clock, rising edge
1251
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1252
      -- data input --
1253
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1254
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1255
      -- data output --
1256
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1257 65 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
1258 2 zero_gravi
    );
1259
  end component;
1260
 
1261
  -- Component: CPU ALU ---------------------------------------------------------------------
1262
  -- -------------------------------------------------------------------------------------------
1263
  component neorv32_cpu_alu
1264 11 zero_gravi
    generic (
1265 61 zero_gravi
      -- RISC-V CPU Extensions --
1266 66 zero_gravi
      CPU_EXTENSION_RISCV_B     : boolean; -- implement bit-manipulation extension?
1267 62 zero_gravi
      CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
1268
      CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
1269
      CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1270 61 zero_gravi
      -- Extension Options --
1271 62 zero_gravi
      FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
1272
      FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
1273 11 zero_gravi
    );
1274 2 zero_gravi
    port (
1275
      -- global control --
1276
      clk_i       : in  std_ulogic; -- global clock, rising edge
1277
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1278
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1279
      -- data input --
1280
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1281
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1282 68 zero_gravi
      pc_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- current PC
1283
      pc2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- next PC
1284 2 zero_gravi
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1285 61 zero_gravi
      csr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1286 2 zero_gravi
      -- data output --
1287 65 zero_gravi
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
1288 2 zero_gravi
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1289 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1290 61 zero_gravi
      fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
1291 2 zero_gravi
      -- status --
1292 61 zero_gravi
      idone_o     : out std_ulogic -- iterative processing units done?
1293 2 zero_gravi
    );
1294
  end component;
1295
 
1296 61 zero_gravi
  -- Component: CPU Co-Processor SHIFTER ----------------------------------------------------
1297
  -- -------------------------------------------------------------------------------------------
1298
  component neorv32_cpu_cp_shifter
1299
    generic (
1300 62 zero_gravi
      FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations
1301 61 zero_gravi
    );
1302
    port (
1303
      -- global control --
1304
      clk_i   : in  std_ulogic; -- global clock, rising edge
1305
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1306
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1307
      start_i : in  std_ulogic; -- trigger operation
1308
      -- data input --
1309
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1310 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1311 61 zero_gravi
      -- result and status --
1312
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1313
      valid_o : out std_ulogic -- data output valid
1314
    );
1315
  end component;
1316
 
1317 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1318 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1319
  component neorv32_cpu_cp_muldiv
1320 19 zero_gravi
    generic (
1321 62 zero_gravi
      FAST_MUL_EN : boolean; -- use DSPs for faster multiplication
1322
      DIVISION_EN : boolean  -- implement divider hardware
1323 19 zero_gravi
    );
1324 2 zero_gravi
    port (
1325
      -- global control --
1326
      clk_i   : in  std_ulogic; -- global clock, rising edge
1327
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1328
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1329 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1330 2 zero_gravi
      -- data input --
1331
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1332
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1333
      -- result and status --
1334
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1335
      valid_o : out std_ulogic -- data output valid
1336
    );
1337
  end component;
1338
 
1339 63 zero_gravi
  -- Component: CPU Co-Processor Bit-Manipulation Unit ('B' extension) ----------------------
1340
  -- -------------------------------------------------------------------------------------------
1341
  component neorv32_cpu_cp_bitmanip is
1342
    generic (
1343 66 zero_gravi
      FAST_SHIFT_EN : boolean  -- use barrel shifter for shift operations
1344 63 zero_gravi
    );
1345
    port (
1346
      -- global control --
1347
      clk_i   : in  std_ulogic; -- global clock, rising edge
1348
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1349
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1350
      start_i : in  std_ulogic; -- trigger operation
1351
      -- data input --
1352
      cmp_i   : in  std_ulogic_vector(1 downto 0); -- comparator status
1353
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1354
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1355 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1356 63 zero_gravi
      -- result and status --
1357
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1358
      valid_o : out std_ulogic -- data output valid
1359
    );
1360
  end component;
1361
 
1362 53 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) -----------------------------
1363 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1364
  component neorv32_cpu_cp_fpu
1365
    port (
1366
      -- global control --
1367 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock, rising edge
1368
      rstn_i   : in  std_ulogic; -- global reset, low-active, async
1369
      ctrl_i   : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1370
      start_i  : in  std_ulogic; -- trigger operation
1371 52 zero_gravi
      -- data input --
1372 56 zero_gravi
      cmp_i    : in  std_ulogic_vector(1 downto 0); -- comparator status
1373 53 zero_gravi
      rs1_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1374
      rs2_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1375 52 zero_gravi
      -- result and status --
1376 53 zero_gravi
      res_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1377
      fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags
1378
      valid_o  : out std_ulogic -- data output valid
1379 52 zero_gravi
    );
1380
  end component;
1381
 
1382 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1383
  -- -------------------------------------------------------------------------------------------
1384
  component neorv32_cpu_bus
1385
    generic (
1386 62 zero_gravi
      CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension?
1387
      CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension?
1388 15 zero_gravi
      -- Physical memory protection (PMP) --
1389 62 zero_gravi
      PMP_NUM_REGIONS       : natural; -- number of regions (0..64)
1390
      PMP_MIN_GRANULARITY   : natural  -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
1391 2 zero_gravi
    );
1392
    port (
1393
      -- global control --
1394 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1395
      rstn_i        : in  std_ulogic := '0'; -- global reset, low-active, async
1396
      ctrl_i        : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1397 12 zero_gravi
      -- cpu instruction fetch interface --
1398 70 zero_gravi
      fetch_pc_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1399
      instr_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1400
      i_wait_o      : out std_ulogic; -- wait for fetch to complete
1401 12 zero_gravi
      --
1402 70 zero_gravi
      ma_instr_o    : out std_ulogic; -- misaligned instruction address
1403
      be_instr_o    : out std_ulogic; -- bus error on instruction access
1404 12 zero_gravi
      -- cpu data access interface --
1405 70 zero_gravi
      addr_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1406
      wdata_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1407
      rdata_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1408
      mar_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1409
      d_wait_o      : out std_ulogic; -- wait for access to complete
1410 12 zero_gravi
      --
1411 70 zero_gravi
      excl_state_o  : out std_ulogic; -- atomic/exclusive access status
1412
      ma_load_o     : out std_ulogic; -- misaligned load data address
1413
      ma_store_o    : out std_ulogic; -- misaligned store data address
1414
      be_load_o     : out std_ulogic; -- bus error on load data access
1415
      be_store_o    : out std_ulogic; -- bus error on store data access
1416 15 zero_gravi
      -- physical memory protection --
1417 70 zero_gravi
      pmp_addr_i    : in  pmp_addr_if_t; -- addresses
1418
      pmp_ctrl_i    : in  pmp_ctrl_if_t; -- configs
1419 12 zero_gravi
      -- instruction bus --
1420 70 zero_gravi
      i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1421
      i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1422
      i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1423
      i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1424
      i_bus_we_o    : out std_ulogic; -- write enable
1425
      i_bus_re_o    : out std_ulogic; -- read enable
1426
      i_bus_lock_o  : out std_ulogic; -- exclusive access request
1427
      i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1428
      i_bus_err_i   : in  std_ulogic; -- bus transfer error
1429
      i_bus_fence_o : out std_ulogic; -- fence operation
1430 12 zero_gravi
      -- data bus --
1431 70 zero_gravi
      d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1432
      d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1433
      d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1434
      d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1435
      d_bus_we_o    : out std_ulogic; -- write enable
1436
      d_bus_re_o    : out std_ulogic; -- read enable
1437
      d_bus_lock_o  : out std_ulogic; -- exclusive access request
1438
      d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1439
      d_bus_err_i   : in  std_ulogic; -- bus transfer error
1440
      d_bus_fence_o : out std_ulogic  -- fence operation
1441 2 zero_gravi
    );
1442
  end component;
1443
 
1444 57 zero_gravi
  -- Component: Bus Keeper ------------------------------------------------------------------
1445
  -- -------------------------------------------------------------------------------------------
1446
  component neorv32_bus_keeper is
1447
    port (
1448
      -- host access --
1449 66 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1450
      rstn_i     : in  std_ulogic; -- global reset, low-active, async
1451
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1452
      rden_i     : in  std_ulogic; -- read enable
1453
      wren_i     : in  std_ulogic; -- write enable
1454 70 zero_gravi
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1455 66 zero_gravi
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1456
      ack_o      : out std_ulogic; -- transfer acknowledge
1457
      err_o      : out std_ulogic; -- transfer error
1458
      -- bus monitoring --
1459
      bus_addr_i : in  std_ulogic_vector(31 downto 0); -- address
1460
      bus_rden_i : in  std_ulogic; -- read enable
1461
      bus_wren_i : in  std_ulogic; -- write enable
1462
      bus_ack_i  : in  std_ulogic; -- transfer acknowledge from bus system
1463 68 zero_gravi
      bus_err_i  : in  std_ulogic; -- transfer error from bus system
1464
      bus_tmo_i  : in  std_ulogic; -- transfer timeout (external interface)
1465 70 zero_gravi
      bus_ext_i  : in  std_ulogic; -- external bus access
1466
      bus_xip_i  : in  std_ulogic  -- pending XIP access
1467 57 zero_gravi
    );
1468
  end component;
1469
 
1470 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1471 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1472 45 zero_gravi
  component neorv32_icache
1473 41 zero_gravi
    generic (
1474 62 zero_gravi
      ICACHE_NUM_BLOCKS : natural; -- number of blocks (min 1), has to be a power of 2
1475
      ICACHE_BLOCK_SIZE : natural; -- block size in bytes (min 4), has to be a power of 2
1476
      ICACHE_NUM_SETS   : natural  -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1477 41 zero_gravi
    );
1478
    port (
1479
      -- global control --
1480 70 zero_gravi
      clk_i        : in  std_ulogic; -- global clock, rising edge
1481
      rstn_i       : in  std_ulogic; -- global reset, low-active, async
1482
      clear_i      : in  std_ulogic; -- cache clear
1483 41 zero_gravi
      -- host controller interface --
1484 70 zero_gravi
      host_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1485
      host_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1486
      host_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1487
      host_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1488
      host_we_i    : in  std_ulogic; -- write enable
1489
      host_re_i    : in  std_ulogic; -- read enable
1490
      host_ack_o   : out std_ulogic; -- bus transfer acknowledge
1491
      host_err_o   : out std_ulogic; -- bus transfer error
1492 41 zero_gravi
      -- peripheral bus interface --
1493 70 zero_gravi
      bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1494
      bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1495
      bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1496
      bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1497
      bus_we_o     : out std_ulogic; -- write enable
1498
      bus_re_o     : out std_ulogic; -- read enable
1499
      bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1500
      bus_err_i    : in  std_ulogic  -- bus transfer error
1501 41 zero_gravi
    );
1502
  end component;
1503
 
1504 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1505
  -- -------------------------------------------------------------------------------------------
1506
  component neorv32_busswitch
1507
    generic (
1508 62 zero_gravi
      PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only
1509
      PORT_CB_READ_ONLY : boolean  -- set if controller port B is read-only
1510 12 zero_gravi
    );
1511
    port (
1512
      -- global control --
1513 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1514
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1515 12 zero_gravi
      -- controller interface a --
1516 70 zero_gravi
      ca_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1517
      ca_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1518
      ca_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1519
      ca_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1520
      ca_bus_we_i    : in  std_ulogic; -- write enable
1521
      ca_bus_re_i    : in  std_ulogic; -- read enable
1522
      ca_bus_lock_i  : in  std_ulogic; -- exclusive access request
1523
      ca_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1524
      ca_bus_err_o   : out std_ulogic; -- bus transfer error
1525 12 zero_gravi
      -- controller interface b --
1526 70 zero_gravi
      cb_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1527
      cb_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1528
      cb_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1529
      cb_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1530
      cb_bus_we_i    : in  std_ulogic; -- write enable
1531
      cb_bus_re_i    : in  std_ulogic; -- read enable
1532
      cb_bus_lock_i  : in  std_ulogic; -- exclusive access request
1533
      cb_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1534
      cb_bus_err_o   : out std_ulogic; -- bus transfer error
1535 12 zero_gravi
      -- peripheral bus --
1536 70 zero_gravi
      p_bus_src_o    : out std_ulogic; -- access source: 0 = A, 1 = B
1537
      p_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1538
      p_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1539
      p_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1540
      p_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1541
      p_bus_we_o     : out std_ulogic; -- write enable
1542
      p_bus_re_o     : out std_ulogic; -- read enable
1543
      p_bus_lock_o   : out std_ulogic; -- exclusive access request
1544
      p_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1545
      p_bus_err_i    : in  std_ulogic  -- bus transfer error
1546 12 zero_gravi
    );
1547
  end component;
1548
 
1549 70 zero_gravi
  -- Component: CPU Compressed Instructions De-Compressor -----------------------------------
1550 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1551
  component neorv32_cpu_decompressor
1552
    port (
1553
      -- instruction input --
1554
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1555
      -- instruction output --
1556
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1557
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1558
    );
1559
  end component;
1560
 
1561
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1562
  -- -------------------------------------------------------------------------------------------
1563
  component neorv32_imem
1564
    generic (
1565 62 zero_gravi
      IMEM_BASE    : std_ulogic_vector(31 downto 0); -- memory base address
1566
      IMEM_SIZE    : natural; -- processor-internal instruction memory size in bytes
1567
      IMEM_AS_IROM : boolean  -- implement IMEM as pre-initialized read-only memory?
1568 2 zero_gravi
    );
1569
    port (
1570
      clk_i  : in  std_ulogic; -- global clock line
1571
      rden_i : in  std_ulogic; -- read enable
1572
      wren_i : in  std_ulogic; -- write enable
1573
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1574
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1575
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1576
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1577
      ack_o  : out std_ulogic -- transfer acknowledge
1578
    );
1579
  end component;
1580
 
1581
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1582
  -- -------------------------------------------------------------------------------------------
1583
  component neorv32_dmem
1584
    generic (
1585 62 zero_gravi
      DMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address
1586
      DMEM_SIZE : natural -- processor-internal instruction memory size in bytes
1587 2 zero_gravi
    );
1588
    port (
1589
      clk_i  : in  std_ulogic; -- global clock line
1590
      rden_i : in  std_ulogic; -- read enable
1591
      wren_i : in  std_ulogic; -- write enable
1592
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1593
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1594
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1595
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1596
      ack_o  : out std_ulogic -- transfer acknowledge
1597
    );
1598
  end component;
1599
 
1600
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1601
  -- -------------------------------------------------------------------------------------------
1602
  component neorv32_boot_rom
1603 23 zero_gravi
    generic (
1604 62 zero_gravi
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) -- boot ROM base address
1605 23 zero_gravi
    );
1606 2 zero_gravi
    port (
1607
      clk_i  : in  std_ulogic; -- global clock line
1608
      rden_i : in  std_ulogic; -- read enable
1609
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1610
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1611
      ack_o  : out std_ulogic -- transfer acknowledge
1612
    );
1613
  end component;
1614
 
1615
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1616
  -- -------------------------------------------------------------------------------------------
1617
  component neorv32_mtime
1618
    port (
1619
      -- host access --
1620 60 zero_gravi
      clk_i  : in  std_ulogic; -- global clock line
1621
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1622
      rden_i : in  std_ulogic; -- read enable
1623
      wren_i : in  std_ulogic; -- write enable
1624
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1625
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1626
      ack_o  : out std_ulogic; -- transfer acknowledge
1627 11 zero_gravi
      -- time output for CPU --
1628 60 zero_gravi
      time_o : out std_ulogic_vector(63 downto 0); -- current system time
1629 2 zero_gravi
      -- interrupt --
1630 60 zero_gravi
      irq_o  : out std_ulogic  -- interrupt request
1631 2 zero_gravi
    );
1632
  end component;
1633
 
1634
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1635
  -- -------------------------------------------------------------------------------------------
1636
  component neorv32_gpio
1637
    port (
1638
      -- host access --
1639
      clk_i  : in  std_ulogic; -- global clock line
1640
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1641
      rden_i : in  std_ulogic; -- read enable
1642
      wren_i : in  std_ulogic; -- write enable
1643
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1644
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1645
      ack_o  : out std_ulogic; -- transfer acknowledge
1646 70 zero_gravi
      err_o  : out std_ulogic; -- transfer error
1647 2 zero_gravi
      -- parallel io --
1648 61 zero_gravi
      gpio_o : out std_ulogic_vector(63 downto 0);
1649
      gpio_i : in  std_ulogic_vector(63 downto 0)
1650 2 zero_gravi
    );
1651
  end component;
1652
 
1653
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1654
  -- -------------------------------------------------------------------------------------------
1655
  component neorv32_wdt
1656 69 zero_gravi
    generic (
1657
      DEBUG_EN : boolean -- CPU debug mode implemented?
1658
    );
1659 2 zero_gravi
    port (
1660
      -- host access --
1661
      clk_i       : in  std_ulogic; -- global clock line
1662
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1663
      rden_i      : in  std_ulogic; -- read enable
1664
      wren_i      : in  std_ulogic; -- write enable
1665
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1666
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1667
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1668
      ack_o       : out std_ulogic; -- transfer acknowledge
1669 69 zero_gravi
      -- CPU in debug mode? --
1670
      cpu_debug_i : in  std_ulogic;
1671 2 zero_gravi
      -- clock generator --
1672
      clkgen_en_o : out std_ulogic; -- enable clock generator
1673
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1674
      -- timeout event --
1675
      irq_o       : out std_ulogic; -- timeout IRQ
1676
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1677
    );
1678
  end component;
1679
 
1680
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1681
  -- -------------------------------------------------------------------------------------------
1682
  component neorv32_uart
1683 50 zero_gravi
    generic (
1684 65 zero_gravi
      UART_PRIMARY : boolean; -- true = primary UART (UART0), false = secondary UART (UART1)
1685
      UART_RX_FIFO : natural; -- RX fifo depth, has to be a power of two, min 1
1686
      UART_TX_FIFO : natural  -- TX fifo depth, has to be a power of two, min 1
1687 50 zero_gravi
    );
1688 2 zero_gravi
    port (
1689
      -- host access --
1690
      clk_i       : in  std_ulogic; -- global clock line
1691
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1692
      rden_i      : in  std_ulogic; -- read enable
1693
      wren_i      : in  std_ulogic; -- write enable
1694
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1695
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1696
      ack_o       : out std_ulogic; -- transfer acknowledge
1697
      -- clock generator --
1698
      clkgen_en_o : out std_ulogic; -- enable clock generator
1699
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1700
      -- com lines --
1701
      uart_txd_o  : out std_ulogic;
1702
      uart_rxd_i  : in  std_ulogic;
1703 51 zero_gravi
      -- hardware flow control --
1704
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1705
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1706 2 zero_gravi
      -- interrupts --
1707 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1708
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1709 2 zero_gravi
    );
1710
  end component;
1711
 
1712
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1713
  -- -------------------------------------------------------------------------------------------
1714
  component neorv32_spi
1715
    port (
1716
      -- host access --
1717
      clk_i       : in  std_ulogic; -- global clock line
1718
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1719
      rden_i      : in  std_ulogic; -- read enable
1720
      wren_i      : in  std_ulogic; -- write enable
1721
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1722
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1723
      ack_o       : out std_ulogic; -- transfer acknowledge
1724
      -- clock generator --
1725
      clkgen_en_o : out std_ulogic; -- enable clock generator
1726
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1727
      -- com lines --
1728 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1729
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1730
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1731 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1732
      -- interrupt --
1733 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1734 2 zero_gravi
    );
1735
  end component;
1736
 
1737
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1738
  -- -------------------------------------------------------------------------------------------
1739
  component neorv32_twi
1740
    port (
1741
      -- host access --
1742
      clk_i       : in  std_ulogic; -- global clock line
1743
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1744
      rden_i      : in  std_ulogic; -- read enable
1745
      wren_i      : in  std_ulogic; -- write enable
1746
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1747
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1748
      ack_o       : out std_ulogic; -- transfer acknowledge
1749
      -- clock generator --
1750
      clkgen_en_o : out std_ulogic; -- enable clock generator
1751
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1752
      -- com lines --
1753
      twi_sda_io  : inout std_logic; -- serial data line
1754
      twi_scl_io  : inout std_logic; -- serial clock line
1755
      -- interrupt --
1756 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1757 2 zero_gravi
    );
1758
  end component;
1759
 
1760
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1761
  -- -------------------------------------------------------------------------------------------
1762
  component neorv32_pwm
1763 60 zero_gravi
    generic (
1764 62 zero_gravi
      NUM_CHANNELS : natural -- number of PWM channels (0..60)
1765 60 zero_gravi
    );
1766 2 zero_gravi
    port (
1767
      -- host access --
1768
      clk_i       : in  std_ulogic; -- global clock line
1769
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1770
      rden_i      : in  std_ulogic; -- read enable
1771
      wren_i      : in  std_ulogic; -- write enable
1772
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1773
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1774
      ack_o       : out std_ulogic; -- transfer acknowledge
1775
      -- clock generator --
1776
      clkgen_en_o : out std_ulogic; -- enable clock generator
1777
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1778
      -- pwm output channels --
1779 70 zero_gravi
      pwm_o       : out std_ulogic_vector(59 downto 0)
1780 2 zero_gravi
    );
1781
  end component;
1782
 
1783
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1784
  -- -------------------------------------------------------------------------------------------
1785
  component neorv32_trng
1786
    port (
1787
      -- host access --
1788
      clk_i  : in  std_ulogic; -- global clock line
1789
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1790
      rden_i : in  std_ulogic; -- read enable
1791
      wren_i : in  std_ulogic; -- write enable
1792
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1793
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1794
      ack_o  : out std_ulogic  -- transfer acknowledge
1795
    );
1796
  end component;
1797
 
1798
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1799
  -- -------------------------------------------------------------------------------------------
1800
  component neorv32_wishbone
1801
    generic (
1802 23 zero_gravi
      -- Internal instruction memory --
1803 62 zero_gravi
      MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
1804
      MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
1805 23 zero_gravi
      -- Internal data memory --
1806 62 zero_gravi
      MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
1807
      MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
1808
      -- Interface Configuration --
1809
      BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1810
      PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1811
      BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
1812
      ASYNC_RX          : boolean  -- use register buffer for RX data when false
1813 2 zero_gravi
    );
1814
    port (
1815
      -- global control --
1816 70 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1817
      rstn_i     : in  std_ulogic; -- global reset line, low-active
1818 2 zero_gravi
      -- host access --
1819 70 zero_gravi
      src_i      : in  std_ulogic; -- access type (0: data, 1:instruction)
1820
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1821
      rden_i     : in  std_ulogic; -- read enable
1822
      wren_i     : in  std_ulogic; -- write enable
1823
      ben_i      : in  std_ulogic_vector(03 downto 0); -- byte write enable
1824
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1825
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1826
      lock_i     : in  std_ulogic; -- exclusive access request
1827
      ack_o      : out std_ulogic; -- transfer acknowledge
1828
      err_o      : out std_ulogic; -- transfer error
1829
      tmo_o      : out std_ulogic; -- transfer timeout
1830
      priv_i     : in  std_ulogic_vector(01 downto 0); -- current CPU privilege level
1831
      ext_o      : out std_ulogic; -- active external access
1832
      -- xip configuration --
1833
      xip_en_i   : in  std_ulogic; -- XIP module enabled
1834
      xip_page_i : in  std_ulogic_vector(03 downto 0); -- XIP memory page
1835 2 zero_gravi
      -- wishbone interface --
1836 70 zero_gravi
      wb_tag_o   : out std_ulogic_vector(02 downto 0); -- request tag
1837
      wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
1838
      wb_dat_i   : in  std_ulogic_vector(31 downto 0); -- read data
1839
      wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
1840
      wb_we_o    : out std_ulogic; -- read/write
1841
      wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1842
      wb_stb_o   : out std_ulogic; -- strobe
1843
      wb_cyc_o   : out std_ulogic; -- valid cycle
1844
      wb_lock_o  : out std_ulogic; -- exclusive access request
1845
      wb_ack_i   : in  std_ulogic; -- transfer acknowledge
1846
      wb_err_i   : in  std_ulogic  -- transfer error
1847 2 zero_gravi
    );
1848
  end component;
1849
 
1850 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1851 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1852 47 zero_gravi
  component neorv32_cfs
1853
    generic (
1854 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1855 62 zero_gravi
      CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
1856
      CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
1857 23 zero_gravi
    );
1858 34 zero_gravi
    port (
1859
      -- host access --
1860
      clk_i       : in  std_ulogic; -- global clock line
1861
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1862
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1863
      rden_i      : in  std_ulogic; -- read enable
1864 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1865 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1866
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1867
      ack_o       : out std_ulogic; -- transfer acknowledge
1868 68 zero_gravi
      err_o       : out std_ulogic; -- transfer error
1869 34 zero_gravi
      -- clock generator --
1870
      clkgen_en_o : out std_ulogic; -- enable clock generator
1871 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1872
      -- interrupt --
1873
      irq_o       : out std_ulogic; -- interrupt request
1874
      -- custom io (conduit) --
1875 62 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0); -- custom inputs
1876
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0) -- custom outputs
1877 34 zero_gravi
    );
1878
  end component;
1879
 
1880 61 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1881 49 zero_gravi
  -- -------------------------------------------------------------------------------------------
1882 61 zero_gravi
  component neorv32_neoled
1883 62 zero_gravi
    generic (
1884
      FIFO_DEPTH : natural -- TX FIFO depth (1..32k, power of two)
1885
    );
1886 49 zero_gravi
    port (
1887
      -- host access --
1888
      clk_i       : in  std_ulogic; -- global clock line
1889
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1890
      rden_i      : in  std_ulogic; -- read enable
1891
      wren_i      : in  std_ulogic; -- write enable
1892
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1893
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1894
      ack_o       : out std_ulogic; -- transfer acknowledge
1895
      -- clock generator --
1896
      clkgen_en_o : out std_ulogic; -- enable clock generator
1897
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1898 61 zero_gravi
      -- interrupt --
1899
      irq_o       : out std_ulogic; -- interrupt request
1900
      -- NEOLED output --
1901
      neoled_o    : out std_ulogic -- serial async data line
1902 49 zero_gravi
    );
1903
  end component;
1904
 
1905 61 zero_gravi
  -- Component: Stream Link Interface (SLINK) -----------------------------------------------
1906 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1907 61 zero_gravi
  component neorv32_slink
1908
    generic (
1909 62 zero_gravi
      SLINK_NUM_TX  : natural; -- number of TX links (0..8)
1910
      SLINK_NUM_RX  : natural; -- number of TX links (0..8)
1911
      SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two
1912
      SLINK_RX_FIFO : natural  -- RX fifo depth, has to be a power of two
1913 61 zero_gravi
    );
1914 52 zero_gravi
    port (
1915
      -- host access --
1916 61 zero_gravi
      clk_i          : in  std_ulogic; -- global clock line
1917
      addr_i         : in  std_ulogic_vector(31 downto 0); -- address
1918
      rden_i         : in  std_ulogic; -- read enable
1919
      wren_i         : in  std_ulogic; -- write enable
1920
      data_i         : in  std_ulogic_vector(31 downto 0); -- data in
1921
      data_o         : out std_ulogic_vector(31 downto 0); -- data out
1922
      ack_o          : out std_ulogic; -- transfer acknowledge
1923 52 zero_gravi
      -- interrupt --
1924 61 zero_gravi
      irq_tx_o       : out std_ulogic; -- transmission done
1925
      irq_rx_o       : out std_ulogic; -- data received
1926
      -- TX stream interfaces --
1927
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1928
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1929
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
1930
      -- RX stream interfaces --
1931
      slink_rx_dat_i : in  sdata_8x32_t; -- input data
1932
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
1933
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
1934 52 zero_gravi
    );
1935
  end component;
1936
 
1937 61 zero_gravi
  -- Component: External Interrupt Controller (XIRQ) ----------------------------------------
1938
  -- -------------------------------------------------------------------------------------------
1939
  component neorv32_xirq
1940
    generic (
1941 62 zero_gravi
      XIRQ_NUM_CH           : natural; -- number of external IRQ channels (0..32)
1942
      XIRQ_TRIGGER_TYPE     : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge
1943
      XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0)  -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1944 61 zero_gravi
    );
1945
    port (
1946
      -- host access --
1947
      clk_i     : in  std_ulogic; -- global clock line
1948
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
1949
      rden_i    : in  std_ulogic; -- read enable
1950
      wren_i    : in  std_ulogic; -- write enable
1951
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
1952
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
1953
      ack_o     : out std_ulogic; -- transfer acknowledge
1954
      -- external interrupt lines --
1955 70 zero_gravi
      xirq_i    : in  std_ulogic_vector(31 downto 0);
1956 61 zero_gravi
      -- CPU interrupt --
1957
      cpu_irq_o : out std_ulogic
1958
    );
1959
  end component;
1960
 
1961 67 zero_gravi
  -- Component: General Purpose Timer (GPTMR) -----------------------------------------------
1962
  -- -------------------------------------------------------------------------------------------
1963
  component neorv32_gptmr
1964
    port (
1965
      -- host access --
1966
      clk_i       : in  std_ulogic; -- global clock line
1967
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1968
      rden_i      : in  std_ulogic; -- read enable
1969
      wren_i      : in  std_ulogic; -- write enable
1970
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1971
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1972
      ack_o       : out std_ulogic; -- transfer acknowledge
1973
      -- clock generator --
1974
      clkgen_en_o : out std_ulogic; -- enable clock generator
1975
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1976
      -- interrupt --
1977
      irq_o       : out std_ulogic -- transmission done interrupt
1978
    );
1979
  end component;
1980
 
1981 70 zero_gravi
  -- Component: Execute In Place Module (XIP) -----------------------------------------------
1982
  -- -------------------------------------------------------------------------------------------
1983
  component neorv32_xip
1984
    port (
1985
      -- globals --
1986
      clk_i       : in  std_ulogic; -- global clock line
1987
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1988
      -- host access: control register access port --
1989
      ct_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
1990
      ct_rden_i   : in  std_ulogic; -- read enable
1991
      ct_wren_i   : in  std_ulogic; -- write enable
1992
      ct_data_i   : in  std_ulogic_vector(31 downto 0); -- data in
1993
      ct_data_o   : out std_ulogic_vector(31 downto 0); -- data out
1994
      ct_ack_o    : out std_ulogic; -- transfer acknowledge
1995
      -- host access: instruction fetch access port (read-only) --
1996
      if_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
1997
      if_rden_i   : in  std_ulogic; -- read enable
1998
      if_data_o   : out std_ulogic_vector(31 downto 0); -- data out
1999
      if_ack_o    : out std_ulogic; -- transfer acknowledge
2000
      -- status --
2001
      xip_en_o    : out std_ulogic; -- XIP enable
2002
      xip_acc_o   : out std_ulogic; -- pending XIP access
2003
      xip_page_o  : out std_ulogic_vector(03 downto 0); -- XIP page
2004
      -- clock generator --
2005
      clkgen_en_o : out std_ulogic; -- enable clock generator
2006
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
2007
      -- SPI device interface --
2008
      spi_csn_o   : out std_ulogic; -- chip-select, low-active
2009
      spi_clk_o   : out std_ulogic; -- serial clock
2010
      spi_data_i  : in  std_ulogic; -- device data output
2011
      spi_data_o  : out std_ulogic  -- controller data output
2012
    );
2013
  end component;
2014
 
2015 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
2016
  -- -------------------------------------------------------------------------------------------
2017 12 zero_gravi
  component neorv32_sysinfo
2018
    generic (
2019
      -- General --
2020 63 zero_gravi
      CLOCK_FREQUENCY              : natural; -- clock frequency of clk_i in Hz
2021
      INT_BOOTLOADER_EN            : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
2022
      -- RISC-V CPU Extensions --
2023
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
2024
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
2025 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
2026
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
2027 63 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
2028
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
2029
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
2030
      -- Extension Options --
2031
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
2032
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
2033
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
2034
      -- Physical memory protection (PMP) --
2035
      PMP_NUM_REGIONS              : natural; -- number of regions (0..64)
2036 23 zero_gravi
      -- Internal Instruction memory --
2037 63 zero_gravi
      MEM_INT_IMEM_EN              : boolean; -- implement processor-internal instruction memory
2038
      MEM_INT_IMEM_SIZE            : natural; -- size of processor-internal instruction memory in bytes
2039 23 zero_gravi
      -- Internal Data memory --
2040 63 zero_gravi
      MEM_INT_DMEM_EN              : boolean; -- implement processor-internal data memory
2041
      MEM_INT_DMEM_SIZE            : natural; -- size of processor-internal data memory in bytes
2042 41 zero_gravi
      -- Internal Cache memory --
2043 63 zero_gravi
      ICACHE_EN                    : boolean; -- implement instruction cache
2044
      ICACHE_NUM_BLOCKS            : natural; -- i-cache: number of blocks (min 2), has to be a power of 2
2045
      ICACHE_BLOCK_SIZE            : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2
2046
      ICACHE_ASSOCIATIVITY         : natural; -- i-cache: associativity (min 1), has to be a power 2
2047 23 zero_gravi
      -- External memory interface --
2048 63 zero_gravi
      MEM_EXT_EN                   : boolean; -- implement external memory bus interface?
2049
      MEM_EXT_BIG_ENDIAN           : boolean; -- byte order: true=big-endian, false=little-endian
2050 59 zero_gravi
      -- On-Chip Debugger --
2051 63 zero_gravi
      ON_CHIP_DEBUGGER_EN          : boolean; -- implement OCD?
2052 12 zero_gravi
      -- Processor peripherals --
2053 63 zero_gravi
      IO_GPIO_EN                   : boolean; -- implement general purpose input/output port unit (GPIO)?
2054
      IO_MTIME_EN                  : boolean; -- implement machine system timer (MTIME)?
2055
      IO_UART0_EN                  : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)?
2056
      IO_UART1_EN                  : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)?
2057
      IO_SPI_EN                    : boolean; -- implement serial peripheral interface (SPI)?
2058
      IO_TWI_EN                    : boolean; -- implement two-wire interface (TWI)?
2059
      IO_PWM_NUM_CH                : natural; -- number of PWM channels to implement
2060
      IO_WDT_EN                    : boolean; -- implement watch dog timer (WDT)?
2061
      IO_TRNG_EN                   : boolean; -- implement true random number generator (TRNG)?
2062
      IO_CFS_EN                    : boolean; -- implement custom functions subsystem (CFS)?
2063
      IO_SLINK_EN                  : boolean; -- implement stream link interface?
2064
      IO_NEOLED_EN                 : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
2065 67 zero_gravi
      IO_XIRQ_NUM_CH               : natural; -- number of external interrupt (XIRQ) channels to implement
2066 70 zero_gravi
      IO_GPTMR_EN                  : boolean; -- implement general purpose timer (GPTMR)?
2067
      IO_XIP_EN                    : boolean  -- implement execute in place module (XIP)?
2068 12 zero_gravi
    );
2069
    port (
2070
      -- host access --
2071
      clk_i  : in  std_ulogic; -- global clock line
2072
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
2073
      rden_i : in  std_ulogic; -- read enable
2074 70 zero_gravi
      wren_i : in  std_ulogic; -- write enable
2075 12 zero_gravi
      data_o : out std_ulogic_vector(31 downto 0); -- data out
2076 70 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
2077
      err_o  : out std_ulogic  -- transfer error
2078 12 zero_gravi
    );
2079
  end component;
2080
 
2081 62 zero_gravi
  -- Component: General Purpose FIFO --------------------------------------------------------
2082 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
2083
  component neorv32_fifo
2084
    generic (
2085 62 zero_gravi
      FIFO_DEPTH : natural; -- number of fifo entries; has to be a power of two; min 1
2086
      FIFO_WIDTH : natural; -- size of data elements in fifo
2087
      FIFO_RSYNC : boolean; -- false = async read; true = sync read
2088
      FIFO_SAFE  : boolean  -- true = allow read/write only if entry available
2089 61 zero_gravi
    );
2090
    port (
2091
      -- control --
2092
      clk_i   : in  std_ulogic; -- clock, rising edge
2093
      rstn_i  : in  std_ulogic; -- async reset, low-active
2094
      clear_i : in  std_ulogic; -- sync reset, high-active
2095 62 zero_gravi
      level_o : out std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill level
2096 65 zero_gravi
      half_o  : out std_ulogic; -- FIFO is at least half full
2097 61 zero_gravi
      -- write port --
2098
      wdata_i : in  std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data
2099
      we_i    : in  std_ulogic; -- write enable
2100
      free_o  : out std_ulogic; -- at least one entry is free when set
2101
      -- read port --
2102
      re_i    : in  std_ulogic; -- read enable
2103
      rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data
2104
      avail_o : out std_ulogic  -- data available when set
2105
    );
2106
  end component;
2107
 
2108 59 zero_gravi
  -- Component: On-Chip Debugger - Debug Module (DM) ----------------------------------------
2109
  -- -------------------------------------------------------------------------------------------
2110
  component neorv32_debug_dm
2111
    port (
2112
      -- global control --
2113
      clk_i            : in  std_ulogic; -- global clock line
2114
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2115
      -- debug module interface (DMI) --
2116
      dmi_rstn_i       : in  std_ulogic;
2117
      dmi_req_valid_i  : in  std_ulogic;
2118
      dmi_req_ready_o  : out std_ulogic; -- DMI is allowed to make new requests when set
2119
      dmi_req_addr_i   : in  std_ulogic_vector(06 downto 0);
2120
      dmi_req_op_i     : in  std_ulogic; -- 0=read, 1=write
2121
      dmi_req_data_i   : in  std_ulogic_vector(31 downto 0);
2122
      dmi_resp_valid_o : out std_ulogic; -- response valid when set
2123
      dmi_resp_ready_i : in  std_ulogic; -- ready to receive respond
2124
      dmi_resp_data_o  : out std_ulogic_vector(31 downto 0);
2125
      dmi_resp_err_o   : out std_ulogic; -- 0=ok, 1=error
2126
      -- CPU bus access --
2127 71 zero_gravi
      cpu_debug_i      : in  std_ulogic; -- CPU is in debug mode
2128 59 zero_gravi
      cpu_addr_i       : in  std_ulogic_vector(31 downto 0); -- address
2129
      cpu_rden_i       : in  std_ulogic; -- read enable
2130
      cpu_wren_i       : in  std_ulogic; -- write enable
2131
      cpu_data_i       : in  std_ulogic_vector(31 downto 0); -- data in
2132
      cpu_data_o       : out std_ulogic_vector(31 downto 0); -- data out
2133
      cpu_ack_o        : out std_ulogic; -- transfer acknowledge
2134
      -- CPU control --
2135
      cpu_ndmrstn_o    : out std_ulogic; -- soc reset
2136
      cpu_halt_req_o   : out std_ulogic  -- request hart to halt (enter debug mode)
2137
    );
2138
  end component;
2139
 
2140
  -- Component: On-Chip Debugger - Debug Transport Module (DTM) -----------------------------
2141
  -- -------------------------------------------------------------------------------------------
2142
  component neorv32_debug_dtm
2143
    generic (
2144 62 zero_gravi
      IDCODE_VERSION : std_ulogic_vector(03 downto 0); -- version
2145
      IDCODE_PARTID  : std_ulogic_vector(15 downto 0); -- part number
2146
      IDCODE_MANID   : std_ulogic_vector(10 downto 0)  -- manufacturer id
2147 59 zero_gravi
    );
2148
    port (
2149
      -- global control --
2150
      clk_i            : in  std_ulogic; -- global clock line
2151
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2152
      -- jtag connection --
2153
      jtag_trst_i      : in  std_ulogic;
2154
      jtag_tck_i       : in  std_ulogic;
2155
      jtag_tdi_i       : in  std_ulogic;
2156
      jtag_tdo_o       : out std_ulogic;
2157
      jtag_tms_i       : in  std_ulogic;
2158
      -- debug module interface (DMI) --
2159
      dmi_rstn_o       : out std_ulogic;
2160
      dmi_req_valid_o  : out std_ulogic;
2161
      dmi_req_ready_i  : in  std_ulogic; -- DMI is allowed to make new requests when set
2162
      dmi_req_addr_o   : out std_ulogic_vector(06 downto 0);
2163
      dmi_req_op_o     : out std_ulogic; -- 0=read, 1=write
2164
      dmi_req_data_o   : out std_ulogic_vector(31 downto 0);
2165
      dmi_resp_valid_i : in  std_ulogic; -- response valid when set
2166
      dmi_resp_ready_o : out std_ulogic; -- ready to receive respond
2167
      dmi_resp_data_i  : in  std_ulogic_vector(31 downto 0);
2168
      dmi_resp_err_i   : in  std_ulogic -- 0=ok, 1=error
2169
    );
2170
  end component;
2171
 
2172 2 zero_gravi
end neorv32_package;
2173
 
2174
package body neorv32_package is
2175
 
2176 69 zero_gravi
  -- Function: Minimal required number of bits to represent <input> numbers -----------------
2177 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2178
  function index_size_f(input : natural) return natural is
2179
  begin
2180
    for i in 0 to natural'high loop
2181
      if (2**i >= input) then
2182
        return i;
2183
      end if;
2184
    end loop; -- i
2185
    return 0;
2186
  end function index_size_f;
2187
 
2188
  -- Function: Conditional select natural ---------------------------------------------------
2189
  -- -------------------------------------------------------------------------------------------
2190
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
2191
  begin
2192
    if (cond = true) then
2193
      return val_t;
2194
    else
2195
      return val_f;
2196
    end if;
2197
  end function cond_sel_natural_f;
2198
 
2199 56 zero_gravi
  -- Function: Conditional select integer ---------------------------------------------------
2200
  -- -------------------------------------------------------------------------------------------
2201
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer is
2202
  begin
2203
    if (cond = true) then
2204
      return val_t;
2205
    else
2206
      return val_f;
2207
    end if;
2208
  end function cond_sel_int_f;
2209
 
2210 2 zero_gravi
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
2211
  -- -------------------------------------------------------------------------------------------
2212
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
2213
  begin
2214
    if (cond = true) then
2215
      return val_t;
2216
    else
2217
      return val_f;
2218
    end if;
2219
  end function cond_sel_stdulogicvector_f;
2220
 
2221 56 zero_gravi
  -- Function: Conditional select std_ulogic ------------------------------------------------
2222
  -- -------------------------------------------------------------------------------------------
2223
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic is
2224
  begin
2225
    if (cond = true) then
2226
      return val_t;
2227
    else
2228
      return val_f;
2229
    end if;
2230
  end function cond_sel_stdulogic_f;
2231
 
2232 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
2233 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2234 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
2235
  begin
2236
    if (cond = true) then
2237
      return val_t;
2238
    else
2239
      return val_f;
2240
    end if;
2241
  end function cond_sel_string_f;
2242
 
2243
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
2244
  -- -------------------------------------------------------------------------------------------
2245 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
2246
  begin
2247
    if (cond = true) then
2248
      return '1';
2249
    else
2250
      return '0';
2251
    end if;
2252
  end function bool_to_ulogic_f;
2253
 
2254 71 zero_gravi
  -- Function: Convert binary to gray -------------------------------------------------------
2255
  -- -------------------------------------------------------------------------------------------
2256
  function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector is
2257
    variable tmp_v : std_ulogic_vector(input'range);
2258
  begin
2259
    tmp_v(input'length-1) := input(input'length-1); -- keep MSB
2260
    for i in input'length-2 downto 0 loop
2261
      tmp_v(i) := input(i) xor input(i+1);
2262
    end loop; -- i
2263
    return tmp_v;
2264
  end function bin_to_gray_f;
2265
 
2266
  -- Function: Convert gray to binary -------------------------------------------------------
2267
  -- -------------------------------------------------------------------------------------------
2268
  function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector is
2269
    variable tmp_v : std_ulogic_vector(input'range);
2270
  begin
2271
    tmp_v(input'length-1) := input(input'length-1); -- keep MSB
2272
    for i in input'length-2 downto 0 loop
2273
      tmp_v(i) := tmp_v(i+1) xor input(i);
2274
    end loop; -- i
2275
    return tmp_v;
2276
  end function gray_to_bin_f;
2277
 
2278 60 zero_gravi
  -- Function: OR-reduce all bits -----------------------------------------------------------
2279 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2280 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic is
2281 2 zero_gravi
    variable tmp_v : std_ulogic;
2282
  begin
2283 56 zero_gravi
    tmp_v := '0';
2284 65 zero_gravi
    for i in a'range loop
2285
      tmp_v := tmp_v or a(i);
2286
    end loop; -- i
2287 2 zero_gravi
    return tmp_v;
2288 60 zero_gravi
  end function or_reduce_f;
2289 2 zero_gravi
 
2290 60 zero_gravi
  -- Function: AND-reduce all bits ----------------------------------------------------------
2291 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2292 60 zero_gravi
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic is
2293 2 zero_gravi
    variable tmp_v : std_ulogic;
2294
  begin
2295 56 zero_gravi
    tmp_v := '1';
2296 65 zero_gravi
    for i in a'range loop
2297
      tmp_v := tmp_v and a(i);
2298
    end loop; -- i
2299 2 zero_gravi
    return tmp_v;
2300 60 zero_gravi
  end function and_reduce_f;
2301 2 zero_gravi
 
2302 60 zero_gravi
  -- Function: XOR-reduce all bits ----------------------------------------------------------
2303 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2304 60 zero_gravi
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic is
2305 2 zero_gravi
    variable tmp_v : std_ulogic;
2306
  begin
2307 56 zero_gravi
    tmp_v := '0';
2308 65 zero_gravi
    for i in a'range loop
2309
      tmp_v := tmp_v xor a(i);
2310
    end loop; -- i
2311 2 zero_gravi
    return tmp_v;
2312 60 zero_gravi
  end function xor_reduce_f;
2313 2 zero_gravi
 
2314 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
2315 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
2316
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
2317
    variable output_v : character;
2318
  begin
2319
    case input is
2320 7 zero_gravi
      when x"0"   => output_v := '0';
2321
      when x"1"   => output_v := '1';
2322
      when x"2"   => output_v := '2';
2323
      when x"3"   => output_v := '3';
2324
      when x"4"   => output_v := '4';
2325
      when x"5"   => output_v := '5';
2326
      when x"6"   => output_v := '6';
2327
      when x"7"   => output_v := '7';
2328
      when x"8"   => output_v := '8';
2329
      when x"9"   => output_v := '9';
2330
      when x"a"   => output_v := 'a';
2331
      when x"b"   => output_v := 'b';
2332
      when x"c"   => output_v := 'c';
2333
      when x"d"   => output_v := 'd';
2334
      when x"e"   => output_v := 'e';
2335
      when x"f"   => output_v := 'f';
2336 6 zero_gravi
      when others => output_v := '?';
2337
    end case;
2338
    return output_v;
2339
  end function to_hexchar_f;
2340
 
2341 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
2342
  -- -------------------------------------------------------------------------------------------
2343
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
2344
    variable hex_value_v : std_ulogic_vector(3 downto 0);
2345
  begin
2346
    case input is
2347
      when '0'       => hex_value_v := x"0";
2348
      when '1'       => hex_value_v := x"1";
2349
      when '2'       => hex_value_v := x"2";
2350
      when '3'       => hex_value_v := x"3";
2351
      when '4'       => hex_value_v := x"4";
2352
      when '5'       => hex_value_v := x"5";
2353
      when '6'       => hex_value_v := x"6";
2354
      when '7'       => hex_value_v := x"7";
2355
      when '8'       => hex_value_v := x"8";
2356
      when '9'       => hex_value_v := x"9";
2357
      when 'a' | 'A' => hex_value_v := x"a";
2358
      when 'b' | 'B' => hex_value_v := x"b";
2359
      when 'c' | 'C' => hex_value_v := x"c";
2360
      when 'd' | 'D' => hex_value_v := x"d";
2361
      when 'e' | 'E' => hex_value_v := x"e";
2362
      when 'f' | 'F' => hex_value_v := x"f";
2363
      when others    => hex_value_v := (others => 'X');
2364
    end case;
2365
    return hex_value_v;
2366
  end function hexchar_to_stdulogicvector_f;
2367
 
2368 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
2369
  -- -------------------------------------------------------------------------------------------
2370
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
2371
    variable output_v : std_ulogic_vector(input'range);
2372
  begin
2373
    for i in 0 to input'length-1 loop
2374
      output_v(input'length-i-1) := input(i);
2375
    end loop; -- i
2376
    return output_v;
2377
  end function bit_rev_f;
2378
 
2379 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
2380
  -- -------------------------------------------------------------------------------------------
2381
  function is_power_of_two_f(input : natural) return boolean is
2382
  begin
2383 38 zero_gravi
    if (input = 1) then -- 2^0
2384 36 zero_gravi
      return true;
2385 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
2386
      return true;
2387 36 zero_gravi
    else
2388
      return false;
2389
    end if;
2390
  end function is_power_of_two_f;
2391
 
2392 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
2393
  -- -------------------------------------------------------------------------------------------
2394
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
2395
    variable output_v : std_ulogic_vector(input'range);
2396
  begin
2397
    output_v(07 downto 00) := input(31 downto 24);
2398
    output_v(15 downto 08) := input(23 downto 16);
2399
    output_v(23 downto 16) := input(15 downto 08);
2400
    output_v(31 downto 24) := input(07 downto 00);
2401
    return output_v;
2402
  end function bswap32_f;
2403
 
2404 61 zero_gravi
  -- Function: Convert char to lowercase ----------------------------------------------------
2405
  -- -------------------------------------------------------------------------------------------
2406 62 zero_gravi
  function char_to_lower_f(ch : character) return character is
2407 61 zero_gravi
    variable res: character;
2408
   begin
2409
     case ch is
2410
       when 'A'    => res := 'a';
2411
       when 'B'    => res := 'b';
2412
       when 'C'    => res := 'c';
2413
       when 'D'    => res := 'd';
2414
       when 'E'    => res := 'e';
2415
       when 'F'    => res := 'f';
2416
       when 'G'    => res := 'g';
2417
       when 'H'    => res := 'h';
2418
       when 'I'    => res := 'i';
2419
       when 'J'    => res := 'j';
2420
       when 'K'    => res := 'k';
2421
       when 'L'    => res := 'l';
2422
       when 'M'    => res := 'm';
2423
       when 'N'    => res := 'n';
2424
       when 'O'    => res := 'o';
2425
       when 'P'    => res := 'p';
2426
       when 'Q'    => res := 'q';
2427
       when 'R'    => res := 'r';
2428
       when 'S'    => res := 's';
2429
       when 'T'    => res := 't';
2430
       when 'U'    => res := 'u';
2431
       when 'V'    => res := 'v';
2432
       when 'W'    => res := 'w';
2433
       when 'X'    => res := 'x';
2434
       when 'Y'    => res := 'y';
2435
       when 'Z'    => res := 'z';
2436
       when others => res := ch;
2437
      end case;
2438
    return res;
2439 62 zero_gravi
  end function char_to_lower_f;
2440 61 zero_gravi
 
2441
  -- Function: Compare strings (convert to lower case, check lengths) -----------------------
2442
  -- -------------------------------------------------------------------------------------------
2443
  function str_equal_f(str0 : string; str1 : string) return boolean is
2444
    variable tmp0_v : string(str0'range);
2445
    variable tmp1_v : string(str1'range);
2446
  begin
2447
    if (str0'length /= str1'length) then -- equal length?
2448
      return false;
2449
    else
2450
      -- convert to lower case --
2451
      for i in str0'range loop
2452 62 zero_gravi
        tmp0_v(i) := char_to_lower_f(str0(i));
2453 61 zero_gravi
      end loop;
2454
      for i in str1'range loop
2455 62 zero_gravi
        tmp1_v(i) := char_to_lower_f(str1(i));
2456 61 zero_gravi
      end loop;
2457
      -- compare lowercase strings --
2458
      if (tmp0_v = tmp1_v) then
2459
        return true;
2460
      else
2461
        return false;
2462
      end if;
2463
    end if;
2464
  end function str_equal_f;
2465
 
2466 63 zero_gravi
  -- Function: Population count (number of set bits) ----------------------------------------
2467
  -- -------------------------------------------------------------------------------------------
2468
  function popcount_f(input : std_ulogic_vector) return natural is
2469
    variable cnt_v : natural range 0 to input'length;
2470
  begin
2471
    cnt_v := 0;
2472
    for i in input'length-1 downto 0 loop
2473
      if (input(i) = '1') then
2474
        cnt_v := cnt_v + 1;
2475
      end if;
2476
    end loop; -- i
2477
    return cnt_v;
2478
  end function popcount_f;
2479
 
2480
  -- Function: Count leading zeros ----------------------------------------------------------
2481
  -- -------------------------------------------------------------------------------------------
2482
  function leading_zeros_f(input : std_ulogic_vector) return natural is
2483
    variable cnt_v : natural range 0 to input'length;
2484
  begin
2485
    cnt_v := 0;
2486
    for i in input'length-1 downto 0 loop
2487
      if (input(i) = '0') then
2488
        cnt_v := cnt_v + 1;
2489
      else
2490
        exit;
2491
      end if;
2492
    end loop; -- i
2493
    return cnt_v;
2494
  end function leading_zeros_f;
2495
 
2496 61 zero_gravi
  -- Function: Initialize mem32_t array from another mem32_t array --------------------------
2497
  -- -------------------------------------------------------------------------------------------
2498
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
2499
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t is
2500
    variable mem_v : mem32_t(0 to depth-1);
2501
  begin
2502 62 zero_gravi
    mem_v := (others => (others => '0')); -- make sure remaining memory entries are set to zero
2503
    if (init'length > depth) then
2504
      return mem_v;
2505
    end if;
2506
    for idx_v in 0 to init'length-1 loop -- init only in range of source data array
2507
      mem_v(idx_v) := init(idx_v);
2508
    end loop; -- idx_v
2509 61 zero_gravi
    return mem_v;
2510
  end function mem32_init_f;
2511
 
2512 62 zero_gravi
 
2513 70 zero_gravi
  -- Finally set deferred constant, see IEEE 1076-2008 14.4.2.1 (NEORV32 Issue #242) --------
2514
  -- -------------------------------------------------------------------------------------------
2515
  constant def_rst_val_c : std_ulogic := cond_sel_stdulogic_f(dedicated_reset_c, '0', '-');
2516
 
2517
 
2518 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.