OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Blame information for rev 73

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Main VHDL package file >>                                                        #
3
-- # ********************************************************************************************* #
4
-- # BSD 3-Clause License                                                                          #
5
-- #                                                                                               #
6 70 zero_gravi
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
7 2 zero_gravi
-- #                                                                                               #
8
-- # Redistribution and use in source and binary forms, with or without modification, are          #
9
-- # permitted provided that the following conditions are met:                                     #
10
-- #                                                                                               #
11
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
-- #    conditions and the following disclaimer.                                                   #
13
-- #                                                                                               #
14
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
16
-- #    provided with the distribution.                                                            #
17
-- #                                                                                               #
18
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
-- #    endorse or promote products derived from this software without specific prior written      #
20
-- #    permission.                                                                                #
21
-- #                                                                                               #
22
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
-- # ********************************************************************************************* #
32
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
33
-- #################################################################################################
34
 
35
library ieee;
36
use ieee.std_logic_1164.all;
37
use ieee.numeric_std.all;
38
 
39
package neorv32_package is
40
 
41 36 zero_gravi
  -- Architecture Configuration -------------------------------------------------------------
42
  -- -------------------------------------------------------------------------------------------
43 40 zero_gravi
  -- address space --
44
  constant ispace_base_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- default instruction memory address space base address
45
  constant dspace_base_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- default data memory address space base address
46 36 zero_gravi
 
47 73 zero_gravi
  -- use dedicated hardware reset value for UNCRITICAL CPU registers --
48 72 zero_gravi
  -- FALSE=reset value is irrelevant (might simplify HW), default; TRUE=defined LOW reset value
49
  constant dedicated_reset_c : boolean := false;
50 40 zero_gravi
 
51 54 zero_gravi
  -- "critical" number of implemented PMP regions --
52
  -- if more PMP regions (> pmp_num_regions_critical_c) are defined, another register stage is automatically inserted into the memory interfaces
53
  -- increasing instruction fetch & data access latency by +1 cycle but also reducing critical path length
54
  constant pmp_num_regions_critical_c : natural := 8; -- default=8
55 47 zero_gravi
 
56 69 zero_gravi
  -- "response time window" for processor-internal modules --
57 72 zero_gravi
  -- = cycles after which an *unacknowledged* internal bus access will timeout and trigger a bus fault exception (min 2)
58
  constant max_proc_int_response_time_c : natural := 15;
59 57 zero_gravi
 
60 59 zero_gravi
  -- jtag tap - identifier --
61
  constant jtag_tap_idcode_version_c : std_ulogic_vector(03 downto 0) := x"0"; -- version
62
  constant jtag_tap_idcode_partid_c  : std_ulogic_vector(15 downto 0) := x"cafe"; -- part number
63
  constant jtag_tap_idcode_manid_c   : std_ulogic_vector(10 downto 0) := "00000000000"; -- manufacturer id
64
 
65 61 zero_gravi
  -- Architecture Constants (do not modify!) ------------------------------------------------
66
  -- -------------------------------------------------------------------------------------------
67 62 zero_gravi
  constant data_width_c : natural := 32; -- native data path width - do not change!
68 73 zero_gravi
  constant hw_version_c : std_ulogic_vector(31 downto 0) := x"01060900"; -- no touchy!
69 62 zero_gravi
  constant archid_c     : natural := 19; -- official NEORV32 architecture ID - hands off!
70 61 zero_gravi
 
71 69 zero_gravi
  -- Check if we're inside the Matrix -------------------------------------------------------
72
  -- -------------------------------------------------------------------------------------------
73
  constant is_simulation_c : boolean := false -- seems like we're on real hardware
74
-- pragma translate_off
75
-- synthesis translate_off
76
-- synthesis synthesis_off
77
-- RTL_SYNTHESIS OFF
78
  or true -- this MIGHT be a simulation
79
-- RTL_SYNTHESIS ON
80
-- synthesis synthesis_on
81
-- synthesis translate_on
82
-- pragma translate_on
83
  ;
84
 
85 61 zero_gravi
  -- External Interface Types ---------------------------------------------------------------
86
  -- -------------------------------------------------------------------------------------------
87 72 zero_gravi
  type sdata_8x32_t  is array (0 to 7) of std_ulogic_vector(31 downto 0);
88
  type sdata_8x32r_t is array (0 to 7) of std_logic_vector(31 downto 0); -- resolved type
89 61 zero_gravi
 
90
  -- Internal Interface Types ---------------------------------------------------------------
91
  -- -------------------------------------------------------------------------------------------
92 73 zero_gravi
  type pmp_ctrl_if_t is array (0 to 15) of std_ulogic_vector(07 downto 0);
93
  type pmp_addr_if_t is array (0 to 15) of std_ulogic_vector(33 downto 2); -- bits 33:2 of phys. address
94 61 zero_gravi
 
95
  -- Internal Memory Types Configuration Types ----------------------------------------------
96
  -- -------------------------------------------------------------------------------------------
97
  type mem32_t is array (natural range <>) of std_ulogic_vector(31 downto 0); -- memory with 32-bit entries
98
  type mem8_t  is array (natural range <>) of std_ulogic_vector(07 downto 0); -- memory with 8-bit entries
99
 
100 12 zero_gravi
  -- Helper Functions -----------------------------------------------------------------------
101 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
102
  function index_size_f(input : natural) return natural;
103
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural;
104 56 zero_gravi
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer;
105 2 zero_gravi
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector;
106 56 zero_gravi
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic;
107 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string;
108 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic;
109 71 zero_gravi
  function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector;
110
  function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector;
111 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic;
112
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic;
113
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic;
114 6 zero_gravi
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character;
115 40 zero_gravi
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector;
116 32 zero_gravi
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector;
117 36 zero_gravi
  function is_power_of_two_f(input : natural) return boolean;
118 40 zero_gravi
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector;
119 62 zero_gravi
  function char_to_lower_f(ch : character) return character;
120 61 zero_gravi
  function str_equal_f(str0 : string; str1 : string) return boolean;
121 63 zero_gravi
  function popcount_f(input : std_ulogic_vector) return natural;
122
  function leading_zeros_f(input : std_ulogic_vector) return natural;
123 61 zero_gravi
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t;
124 2 zero_gravi
 
125 61 zero_gravi
  -- Internal (auto-generated) Configurations -----------------------------------------------
126 56 zero_gravi
  -- -------------------------------------------------------------------------------------------
127 70 zero_gravi
  constant def_rst_val_c : std_ulogic; -- Use a deferred constant, prevents compile error with Questa, see IEEE 1076-2008 14.4.2.1
128 56 zero_gravi
 
129 23 zero_gravi
  -- Processor-Internal Address Space Layout ------------------------------------------------
130
  -- -------------------------------------------------------------------------------------------
131 34 zero_gravi
  -- Internal Instruction Memory (IMEM) and Date Memory (DMEM) --
132 39 zero_gravi
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
133
  constant dmem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := dspace_base_c; -- internal data memory base address
134 42 zero_gravi
  --> internal data/instruction memory sizes are configured via top's generics
135 2 zero_gravi
 
136 64 zero_gravi
  -- !!! IMPORTANT: The base address of each component/module has to be aligned to the !!!
137
  -- !!! total size of the module's occupied address space. The occupied address space !!!
138
  -- !!! has to be a power of two (minimum 4 bytes). Address spaces must not overlap.  !!!
139
 
140 23 zero_gravi
  -- Internal Bootloader ROM --
141 61 zero_gravi
  -- Actual bootloader size is determined during runtime via the length of the bootloader initialization image
142 56 zero_gravi
  constant boot_rom_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffff0000"; -- bootloader base address, fixed!
143 61 zero_gravi
  constant boot_rom_max_size_c  : natural := 32*1024; -- max module's address space size in bytes, fixed!
144 23 zero_gravi
 
145 59 zero_gravi
  -- On-Chip Debugger: Debug Module --
146
  constant dm_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800"; -- base address, fixed!
147 61 zero_gravi
  constant dm_size_c            : natural := 4*32*4; -- debug ROM address space size in bytes, fixed
148 59 zero_gravi
  constant dm_code_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff800";
149
  constant dm_pbuf_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff880";
150
  constant dm_data_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff900";
151
  constant dm_sreg_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"fffff980";
152
 
153 2 zero_gravi
  -- IO: Peripheral Devices ("IO") Area --
154 70 zero_gravi
  -- Control register(s) (including the device-enable flag) should be located at the base address of each device
155 60 zero_gravi
  constant io_base_c            : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
156 61 zero_gravi
  constant io_size_c            : natural := 512; -- IO address space size in bytes, fixed!
157 2 zero_gravi
 
158 47 zero_gravi
  -- Custom Functions Subsystem (CFS) --
159 60 zero_gravi
  constant cfs_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00"; -- base address
160 61 zero_gravi
  constant cfs_size_c           : natural := 32*4; -- module's address space in bytes
161 60 zero_gravi
  constant cfs_reg0_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe00";
162
  constant cfs_reg1_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe04";
163
  constant cfs_reg2_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe08";
164
  constant cfs_reg3_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe0c";
165
  constant cfs_reg4_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe10";
166
  constant cfs_reg5_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe14";
167
  constant cfs_reg6_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe18";
168
  constant cfs_reg7_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe1c";
169
  constant cfs_reg8_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe20";
170
  constant cfs_reg9_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe24";
171
  constant cfs_reg10_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe28";
172
  constant cfs_reg11_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe2c";
173
  constant cfs_reg12_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe30";
174
  constant cfs_reg13_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe34";
175
  constant cfs_reg14_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe38";
176
  constant cfs_reg15_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe3c";
177
  constant cfs_reg16_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe40";
178
  constant cfs_reg17_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe44";
179
  constant cfs_reg18_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe48";
180
  constant cfs_reg19_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe4c";
181
  constant cfs_reg20_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe50";
182
  constant cfs_reg21_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe54";
183
  constant cfs_reg22_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe58";
184
  constant cfs_reg23_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe5c";
185
  constant cfs_reg24_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe60";
186
  constant cfs_reg25_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe64";
187
  constant cfs_reg26_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe68";
188
  constant cfs_reg27_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe6c";
189
  constant cfs_reg28_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe70";
190
  constant cfs_reg29_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe74";
191
  constant cfs_reg30_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe78";
192
  constant cfs_reg31_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe7c";
193 47 zero_gravi
 
194 60 zero_gravi
  -- Pulse-Width Modulation Controller (PWM) --
195
  constant pwm_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80"; -- base address
196 61 zero_gravi
  constant pwm_size_c           : natural := 16*4; -- module's address space size in bytes
197 60 zero_gravi
  constant pwm_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe80";
198
  constant pwm_duty0_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe84";
199
  constant pwm_duty1_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe88";
200
  constant pwm_duty2_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe8c";
201
  constant pwm_duty3_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe90";
202
  constant pwm_duty4_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe94";
203
  constant pwm_duty5_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe98";
204
  constant pwm_duty6_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffe9c";
205
  constant pwm_duty7_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea0";
206
  constant pwm_duty8_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea4";
207
  constant pwm_duty9_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffea8";
208
  constant pwm_duty10_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeac";
209
  constant pwm_duty11_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb0";
210
  constant pwm_duty12_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb4";
211
  constant pwm_duty13_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffeb8";
212
  constant pwm_duty14_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffebc";
213
 
214 63 zero_gravi
  -- Stream Link Interface (SLINK) --
215 61 zero_gravi
  constant slink_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"fffffec0"; -- base address
216
  constant slink_size_c         : natural := 16*4; -- module's address space size in bytes
217 60 zero_gravi
 
218
  -- reserved --
219
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff00"; -- base address
220 63 zero_gravi
--constant reserved_size_c      : natural := 16*4; -- module's address space size in bytes
221 60 zero_gravi
 
222 70 zero_gravi
  -- Execute In Place Module (XIP) --
223
  constant xip_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40"; -- base address
224
  constant xip_size_c           : natural := 4*4; -- module's address space size in bytes
225
  constant xip_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff40";
226
  constant xip_map_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff44";
227
  constant xip_data_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff48";
228
  constant xip_data_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff4C";
229
 
230 63 zero_gravi
  -- reserved --
231 70 zero_gravi
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff50"; -- base address
232
--constant reserved_size_c      : natural := 4*4; -- module's address space size in bytes
233 63 zero_gravi
 
234 67 zero_gravi
  -- General Purpose Timer (GPTMR) --
235
  constant gptmr_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60"; -- base address
236
  constant gptmr_size_c         : natural := 4*4; -- module's address space size in bytes
237
  constant gptmr_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff60";
238
  constant gptmr_thres_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff64";
239
  constant gptmr_count_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff68";
240
--constant gptmr_reserve_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff6c";
241 63 zero_gravi
 
242
  -- reserved --
243
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff70"; -- base address
244
--constant reserved_size_c      : natural := 2*4; -- module's address space size in bytes
245
 
246
  -- reserved --
247
--constant reserved_base_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff78"; -- base address
248 66 zero_gravi
--constant reserved_size_c      : natural := 1*4; -- module's address space size in bytes
249 63 zero_gravi
 
250 66 zero_gravi
  -- Bus Access Monitor (BUSKEEPER) --
251
  constant buskeeper_base_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff7c"; -- base address
252
  constant buskeeper_size_c     : natural := 1*4; -- module's address space size in bytes
253
 
254 61 zero_gravi
  -- External Interrupt Controller (XIRQ) --
255
  constant xirq_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80"; -- base address
256
  constant xirq_size_c          : natural := 4*4; -- module's address space size in bytes
257
  constant xirq_enable_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff80";
258
  constant xirq_pending_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff84";
259
  constant xirq_source_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff88";
260 62 zero_gravi
--constant xirq_reserved_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff8c";
261 2 zero_gravi
 
262
  -- Machine System Timer (MTIME) --
263 56 zero_gravi
  constant mtime_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90"; -- base address
264 61 zero_gravi
  constant mtime_size_c         : natural := 4*4; -- module's address space size in bytes
265 56 zero_gravi
  constant mtime_time_lo_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff90";
266
  constant mtime_time_hi_addr_c : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff94";
267
  constant mtime_cmp_lo_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff98";
268
  constant mtime_cmp_hi_addr_c  : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffff9c";
269 2 zero_gravi
 
270 58 zero_gravi
  -- Primary Universal Asynchronous Receiver/Transmitter (UART0) --
271 56 zero_gravi
  constant uart0_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0"; -- base address
272 61 zero_gravi
  constant uart0_size_c         : natural := 2*4; -- module's address space size in bytes
273 56 zero_gravi
  constant uart0_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa0";
274
  constant uart0_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa4";
275 2 zero_gravi
 
276
  -- Serial Peripheral Interface (SPI) --
277 56 zero_gravi
  constant spi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8"; -- base address
278 61 zero_gravi
  constant spi_size_c           : natural := 2*4; -- module's address space size in bytes
279 56 zero_gravi
  constant spi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffa8";
280
  constant spi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffac";
281 2 zero_gravi
 
282
  -- Two Wire Interface (TWI) --
283 56 zero_gravi
  constant twi_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0"; -- base address
284 61 zero_gravi
  constant twi_size_c           : natural := 2*4; -- module's address space size in bytes
285 56 zero_gravi
  constant twi_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb0";
286
  constant twi_rtx_addr_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb4";
287 2 zero_gravi
 
288 61 zero_gravi
  -- True Random Number Generator (TRNG) --
289
  constant trng_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8"; -- base address
290
  constant trng_size_c          : natural := 1*4; -- module's address space size in bytes
291
  constant trng_ctrl_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffb8";
292
 
293
  -- Watch Dog Timer (WDT) --
294
  constant wdt_base_c           : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc"; -- base address
295
  constant wdt_size_c           : natural := 1*4; -- module's address space size in bytes
296
  constant wdt_ctrl_addr_c      : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffbc";
297
 
298 63 zero_gravi
  -- General Purpose Input/Output Controller (GPIO) --
299 61 zero_gravi
  constant gpio_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0"; -- base address
300
  constant gpio_size_c          : natural := 4*4; -- module's address space size in bytes
301
  constant gpio_in_lo_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc0";
302
  constant gpio_in_hi_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc4";
303
  constant gpio_out_lo_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffc8";
304
  constant gpio_out_hi_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffcc";
305 2 zero_gravi
 
306 58 zero_gravi
  -- Secondary Universal Asynchronous Receiver/Transmitter (UART1) --
307 56 zero_gravi
  constant uart1_base_c         : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0"; -- base address
308 61 zero_gravi
  constant uart1_size_c         : natural := 2*4; -- module's address space size in bytes
309 56 zero_gravi
  constant uart1_ctrl_addr_c    : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd0";
310
  constant uart1_rtx_addr_c     : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd4";
311 50 zero_gravi
 
312 52 zero_gravi
  -- Smart LED (WS2811/WS2812) Interface (NEOLED) --
313 56 zero_gravi
  constant neoled_base_c        : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8"; -- base address
314 61 zero_gravi
  constant neoled_size_c        : natural := 2*4; -- module's address space size in bytes
315 56 zero_gravi
  constant neoled_ctrl_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffd8";
316
  constant neoled_data_addr_c   : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffdc";
317 12 zero_gravi
 
318 23 zero_gravi
  -- System Information Memory (SYSINFO) --
319 56 zero_gravi
  constant sysinfo_base_c       : std_ulogic_vector(data_width_c-1 downto 0) := x"ffffffe0"; -- base address
320 61 zero_gravi
  constant sysinfo_size_c       : natural := 8*4; -- module's address space size in bytes
321 12 zero_gravi
 
322 59 zero_gravi
  -- Main CPU Control Bus -------------------------------------------------------------------
323 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
324
  -- register file --
325 73 zero_gravi
  constant ctrl_rf_wb_en_c      : natural :=  0; -- write back enable
326 49 zero_gravi
  constant ctrl_rf_rs1_adr0_c   : natural :=  1; -- source register 1 address bit 0
327
  constant ctrl_rf_rs1_adr1_c   : natural :=  2; -- source register 1 address bit 1
328
  constant ctrl_rf_rs1_adr2_c   : natural :=  3; -- source register 1 address bit 2
329
  constant ctrl_rf_rs1_adr3_c   : natural :=  4; -- source register 1 address bit 3
330
  constant ctrl_rf_rs1_adr4_c   : natural :=  5; -- source register 1 address bit 4
331
  constant ctrl_rf_rs2_adr0_c   : natural :=  6; -- source register 2 address bit 0
332
  constant ctrl_rf_rs2_adr1_c   : natural :=  7; -- source register 2 address bit 1
333
  constant ctrl_rf_rs2_adr2_c   : natural :=  8; -- source register 2 address bit 2
334
  constant ctrl_rf_rs2_adr3_c   : natural :=  9; -- source register 2 address bit 3
335
  constant ctrl_rf_rs2_adr4_c   : natural := 10; -- source register 2 address bit 4
336 58 zero_gravi
  constant ctrl_rf_rd_adr0_c    : natural := 11; -- destination register address bit 0
337
  constant ctrl_rf_rd_adr1_c    : natural := 12; -- destination register address bit 1
338
  constant ctrl_rf_rd_adr2_c    : natural := 13; -- destination register address bit 2
339
  constant ctrl_rf_rd_adr3_c    : natural := 14; -- destination register address bit 3
340
  constant ctrl_rf_rd_adr4_c    : natural := 15; -- destination register address bit 4
341 73 zero_gravi
  constant ctrl_rf_mux0_c       : natural := 16; -- input source select lsb
342
  constant ctrl_rf_mux1_c       : natural := 17; -- input source select msb
343 2 zero_gravi
  -- alu --
344 73 zero_gravi
  constant ctrl_alu_op0_c       : natural := 18; -- ALU operation select bit 0
345
  constant ctrl_alu_op1_c       : natural := 19; -- ALU operation select bit 1
346
  constant ctrl_alu_op2_c       : natural := 20; -- ALU operation select bit 2
347
  constant ctrl_alu_opa_mux_c   : natural := 21; -- operand A select (0=rs1, 1=PC)
348
  constant ctrl_alu_opb_mux_c   : natural := 22; -- operand B select (0=rs2, 1=IMM)
349
  constant ctrl_alu_unsigned_c  : natural := 23; -- is unsigned ALU operation
350
  constant ctrl_alu_shift_dir_c : natural := 24; -- shift direction (0=left, 1=right)
351
  constant ctrl_alu_shift_ar_c  : natural := 25; -- is arithmetic shift
352
  constant ctrl_alu_frm0_c      : natural := 26; -- FPU rounding mode bit 0
353
  constant ctrl_alu_frm1_c      : natural := 27; -- FPU rounding mode bit 1
354
  constant ctrl_alu_frm2_c      : natural := 28; -- FPU rounding mode bit 2
355 2 zero_gravi
  -- bus interface --
356 73 zero_gravi
  constant ctrl_bus_size_lsb_c  : natural := 29; -- transfer size lsb (00=byte, 01=half-word)
357
  constant ctrl_bus_size_msb_c  : natural := 30; -- transfer size msb (10=word, 11=?)
358
  constant ctrl_bus_rd_c        : natural := 31; -- read data request
359
  constant ctrl_bus_wr_c        : natural := 32; -- write data request
360
  constant ctrl_bus_if_c        : natural := 33; -- instruction fetch request
361
  constant ctrl_bus_mo_we_c     : natural := 34; -- memory address and data output register write enable
362
  constant ctrl_bus_mi_we_c     : natural := 35; -- memory data input register write enable
363
  constant ctrl_bus_unsigned_c  : natural := 36; -- is unsigned load
364
  constant ctrl_bus_fence_c     : natural := 37; -- executed fence operation
365
  constant ctrl_bus_fencei_c    : natural := 38; -- executed fencei operation
366
  constant ctrl_bus_lock_c      : natural := 39; -- make atomic/exclusive access lock
367
  constant ctrl_bus_de_lock_c   : natural := 40; -- remove atomic/exclusive access 
368
  constant ctrl_bus_ch_lock_c   : natural := 41; -- evaluate atomic/exclusive lock (SC operation)
369
  -- alu co-processors --
370
  constant ctrl_cp_id_lsb_c     : natural := 42; -- cp select ID lsb [ALIAS]
371
  constant ctrl_cp_trig0_c      : natural := 42; -- trigger CP0
372
  constant ctrl_cp_trig1_c      : natural := 43; -- trigger CP1
373
  constant ctrl_cp_trig2_c      : natural := 44; -- trigger CP2
374
  constant ctrl_cp_trig3_c      : natural := 45; -- trigger CP3
375
  constant ctrl_cp_trig4_c      : natural := 46; -- trigger CP4
376
  constant ctrl_cp_trig5_c      : natural := 47; -- trigger CP5
377
  constant ctrl_cp_trig6_c      : natural := 48; -- trigger CP6
378
  constant ctrl_cp_trig7_c      : natural := 49; -- trigger CP7
379
  constant ctrl_cp_id_msb_c     : natural := 49; -- cp select ID msb [ALIAS]
380
  -- instruction word control blocks (used by cpu co-processors) --
381
  constant ctrl_ir_funct3_0_c   : natural := 50; -- funct3 bit 0
382
  constant ctrl_ir_funct3_1_c   : natural := 51; -- funct3 bit 1
383
  constant ctrl_ir_funct3_2_c   : natural := 52; -- funct3 bit 2
384
  constant ctrl_ir_funct12_0_c  : natural := 53; -- funct12 bit 0
385
  constant ctrl_ir_funct12_1_c  : natural := 54; -- funct12 bit 1
386
  constant ctrl_ir_funct12_2_c  : natural := 55; -- funct12 bit 2
387
  constant ctrl_ir_funct12_3_c  : natural := 56; -- funct12 bit 3
388
  constant ctrl_ir_funct12_4_c  : natural := 57; -- funct12 bit 4
389
  constant ctrl_ir_funct12_5_c  : natural := 58; -- funct12 bit 5
390
  constant ctrl_ir_funct12_6_c  : natural := 59; -- funct12 bit 6
391
  constant ctrl_ir_funct12_7_c  : natural := 60; -- funct12 bit 7
392
  constant ctrl_ir_funct12_8_c  : natural := 61; -- funct12 bit 8
393
  constant ctrl_ir_funct12_9_c  : natural := 62; -- funct12 bit 9
394
  constant ctrl_ir_funct12_10_c : natural := 63; -- funct12 bit 10
395
  constant ctrl_ir_funct12_11_c : natural := 64; -- funct12 bit 11
396
  constant ctrl_ir_opcode7_0_c  : natural := 65; -- opcode7 bit 0
397
  constant ctrl_ir_opcode7_1_c  : natural := 66; -- opcode7 bit 1
398
  constant ctrl_ir_opcode7_2_c  : natural := 67; -- opcode7 bit 2
399
  constant ctrl_ir_opcode7_3_c  : natural := 68; -- opcode7 bit 3
400
  constant ctrl_ir_opcode7_4_c  : natural := 69; -- opcode7 bit 4
401
  constant ctrl_ir_opcode7_5_c  : natural := 70; -- opcode7 bit 5
402
  constant ctrl_ir_opcode7_6_c  : natural := 71; -- opcode7 bit 6
403
  -- cpu status --
404
  constant ctrl_priv_mode_c     : natural := 72; -- effective privilege mode
405
  constant ctrl_sleep_c         : natural := 73; -- set when CPU is in sleep mode
406
  constant ctrl_trap_c          : natural := 74; -- set when CPU is entering trap execution
407
  constant ctrl_debug_running_c : natural := 75; -- set when CPU is in debug mode
408 2 zero_gravi
  -- control bus size --
409 73 zero_gravi
  constant ctrl_width_c         : natural := 76; -- control bus size
410 2 zero_gravi
 
411 47 zero_gravi
  -- Comparator Bus -------------------------------------------------------------------------
412 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
413 47 zero_gravi
  constant cmp_equal_c : natural := 0;
414
  constant cmp_less_c  : natural := 1; -- for signed and unsigned comparisons
415 2 zero_gravi
 
416 72 zero_gravi
  -- RISC-V 32-Bit Instruction Word Layout --------------------------------------------------
417 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
418
  constant instr_opcode_lsb_c  : natural :=  0; -- opcode bit 0
419
  constant instr_opcode_msb_c  : natural :=  6; -- opcode bit 6
420
  constant instr_rd_lsb_c      : natural :=  7; -- destination register address bit 0
421
  constant instr_rd_msb_c      : natural := 11; -- destination register address bit 4
422
  constant instr_funct3_lsb_c  : natural := 12; -- funct3 bit 0
423
  constant instr_funct3_msb_c  : natural := 14; -- funct3 bit 2
424
  constant instr_rs1_lsb_c     : natural := 15; -- source register 1 address bit 0
425
  constant instr_rs1_msb_c     : natural := 19; -- source register 1 address bit 4
426
  constant instr_rs2_lsb_c     : natural := 20; -- source register 2 address bit 0
427
  constant instr_rs2_msb_c     : natural := 24; -- source register 2 address bit 4
428
  constant instr_funct7_lsb_c  : natural := 25; -- funct7 bit 0
429
  constant instr_funct7_msb_c  : natural := 31; -- funct7 bit 6
430
  constant instr_funct12_lsb_c : natural := 20; -- funct12 bit 0
431
  constant instr_funct12_msb_c : natural := 31; -- funct12 bit 11
432
  constant instr_imm12_lsb_c   : natural := 20; -- immediate12 bit 0
433
  constant instr_imm12_msb_c   : natural := 31; -- immediate12 bit 11
434
  constant instr_imm20_lsb_c   : natural := 12; -- immediate20 bit 0
435
  constant instr_imm20_msb_c   : natural := 31; -- immediate20 bit 21
436
  constant instr_csr_id_lsb_c  : natural := 20; -- csr select bit 0
437
  constant instr_csr_id_msb_c  : natural := 31; -- csr select bit 11
438 39 zero_gravi
  constant instr_funct5_lsb_c  : natural := 27; -- funct5 select bit 0
439
  constant instr_funct5_msb_c  : natural := 31; -- funct5 select bit 4
440 2 zero_gravi
 
441
  -- RISC-V Opcodes -------------------------------------------------------------------------
442
  -- -------------------------------------------------------------------------------------------
443
  -- alu --
444
  constant opcode_lui_c    : std_ulogic_vector(6 downto 0) := "0110111"; -- load upper immediate
445
  constant opcode_auipc_c  : std_ulogic_vector(6 downto 0) := "0010111"; -- add upper immediate to PC
446
  constant opcode_alui_c   : std_ulogic_vector(6 downto 0) := "0010011"; -- ALU operation with immediate (operation via funct3 and funct7)
447
  constant opcode_alu_c    : std_ulogic_vector(6 downto 0) := "0110011"; -- ALU operation (operation via funct3 and funct7)
448
  -- control flow --
449
  constant opcode_jal_c    : std_ulogic_vector(6 downto 0) := "1101111"; -- jump and link
450 29 zero_gravi
  constant opcode_jalr_c   : std_ulogic_vector(6 downto 0) := "1100111"; -- jump and link with register
451 2 zero_gravi
  constant opcode_branch_c : std_ulogic_vector(6 downto 0) := "1100011"; -- branch (condition set via funct3)
452
  -- memory access --
453
  constant opcode_load_c   : std_ulogic_vector(6 downto 0) := "0000011"; -- load (data type via funct3)
454
  constant opcode_store_c  : std_ulogic_vector(6 downto 0) := "0100011"; -- store (data type via funct3)
455
  -- system/csr --
456 8 zero_gravi
  constant opcode_fence_c  : std_ulogic_vector(6 downto 0) := "0001111"; -- fence / fence.i
457 2 zero_gravi
  constant opcode_syscsr_c : std_ulogic_vector(6 downto 0) := "1110011"; -- system/csr access (type via funct3)
458 52 zero_gravi
  -- atomic memory access (A) --
459 39 zero_gravi
  constant opcode_atomic_c : std_ulogic_vector(6 downto 0) := "0101111"; -- atomic operations (A extension)
460 53 zero_gravi
  -- floating point operations (Zfinx-only) (F/D/H/Q) --
461 66 zero_gravi
  constant opcode_fop_c    : std_ulogic_vector(6 downto 0) := "1010011"; -- dual/single operand instruction
462 72 zero_gravi
  -- official "custom0/1" RISC-V opcodes - free for custom instructions --
463
  constant opcode_cust0_c  : std_ulogic_vector(6 downto 0) := "0001011"; -- custom instructions 0
464
--constant opcode_cust1_c  : std_ulogic_vector(6 downto 0) := "0101011"; -- custom instructions 1
465 2 zero_gravi
 
466
  -- RISC-V Funct3 --------------------------------------------------------------------------
467
  -- -------------------------------------------------------------------------------------------
468
  -- control flow --
469
  constant funct3_beq_c    : std_ulogic_vector(2 downto 0) := "000"; -- branch if equal
470
  constant funct3_bne_c    : std_ulogic_vector(2 downto 0) := "001"; -- branch if not equal
471
  constant funct3_blt_c    : std_ulogic_vector(2 downto 0) := "100"; -- branch if less than
472
  constant funct3_bge_c    : std_ulogic_vector(2 downto 0) := "101"; -- branch if greater than or equal
473
  constant funct3_bltu_c   : std_ulogic_vector(2 downto 0) := "110"; -- branch if less than (unsigned)
474
  constant funct3_bgeu_c   : std_ulogic_vector(2 downto 0) := "111"; -- branch if greater than or equal (unsigned)
475
  -- memory access --
476
  constant funct3_lb_c     : std_ulogic_vector(2 downto 0) := "000"; -- load byte
477
  constant funct3_lh_c     : std_ulogic_vector(2 downto 0) := "001"; -- load half word
478
  constant funct3_lw_c     : std_ulogic_vector(2 downto 0) := "010"; -- load word
479
  constant funct3_lbu_c    : std_ulogic_vector(2 downto 0) := "100"; -- load byte (unsigned)
480
  constant funct3_lhu_c    : std_ulogic_vector(2 downto 0) := "101"; -- load half word (unsigned)
481
  constant funct3_sb_c     : std_ulogic_vector(2 downto 0) := "000"; -- store byte
482
  constant funct3_sh_c     : std_ulogic_vector(2 downto 0) := "001"; -- store half word
483
  constant funct3_sw_c     : std_ulogic_vector(2 downto 0) := "010"; -- store word
484
  -- alu --
485
  constant funct3_subadd_c : std_ulogic_vector(2 downto 0) := "000"; -- sub/add via funct7
486
  constant funct3_sll_c    : std_ulogic_vector(2 downto 0) := "001"; -- shift logical left
487
  constant funct3_slt_c    : std_ulogic_vector(2 downto 0) := "010"; -- set on less
488
  constant funct3_sltu_c   : std_ulogic_vector(2 downto 0) := "011"; -- set on less unsigned
489
  constant funct3_xor_c    : std_ulogic_vector(2 downto 0) := "100"; -- xor
490
  constant funct3_sr_c     : std_ulogic_vector(2 downto 0) := "101"; -- shift right via funct7
491
  constant funct3_or_c     : std_ulogic_vector(2 downto 0) := "110"; -- or
492
  constant funct3_and_c    : std_ulogic_vector(2 downto 0) := "111"; -- and
493
  -- system/csr --
494 59 zero_gravi
  constant funct3_env_c    : std_ulogic_vector(2 downto 0) := "000"; -- ecall, ebreak, mret, wfi, ...
495 72 zero_gravi
  constant funct3_csrrw_c  : std_ulogic_vector(2 downto 0) := "001"; -- csr r/w
496
  constant funct3_csrrs_c  : std_ulogic_vector(2 downto 0) := "010"; -- csr read & set bit
497
  constant funct3_csrrc_c  : std_ulogic_vector(2 downto 0) := "011"; -- csr read & clear bit
498
  constant funct3_csrrwi_c : std_ulogic_vector(2 downto 0) := "101"; -- csr r/w immediate
499
  constant funct3_csrrsi_c : std_ulogic_vector(2 downto 0) := "110"; -- csr read & set bit immediate
500
  constant funct3_csrrci_c : std_ulogic_vector(2 downto 0) := "111"; -- csr read & clear bit immediate
501 8 zero_gravi
  -- fence --
502 73 zero_gravi
  constant funct3_fence_c  : std_ulogic_vector(2 downto 0) := "000"; -- fence - order IO/memory access
503 66 zero_gravi
  constant funct3_fencei_c : std_ulogic_vector(2 downto 0) := "001"; -- fencei - instruction stream sync
504 2 zero_gravi
 
505 39 zero_gravi
  -- RISC-V Funct12 -------------------------------------------------------------------------
506 11 zero_gravi
  -- -------------------------------------------------------------------------------------------
507
  -- system --
508 72 zero_gravi
  constant funct12_ecall_c  : std_ulogic_vector(11 downto 0) := x"000"; -- ecall
509
  constant funct12_ebreak_c : std_ulogic_vector(11 downto 0) := x"001"; -- ebreak
510
  constant funct12_mret_c   : std_ulogic_vector(11 downto 0) := x"302"; -- mret
511
  constant funct12_wfi_c    : std_ulogic_vector(11 downto 0) := x"105"; -- wfi
512
  constant funct12_dret_c   : std_ulogic_vector(11 downto 0) := x"7b2"; -- dret
513 11 zero_gravi
 
514 39 zero_gravi
  -- RISC-V Funct5 --------------------------------------------------------------------------
515
  -- -------------------------------------------------------------------------------------------
516
  -- atomic operations --
517 72 zero_gravi
  constant funct5_a_lr_c : std_ulogic_vector(4 downto 0) := "00010"; -- lr.w
518
  constant funct5_a_sc_c : std_ulogic_vector(4 downto 0) := "00011"; -- sc.w
519 39 zero_gravi
 
520 54 zero_gravi
  -- RISC-V Floating-Point Stuff ------------------------------------------------------------
521 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
522 54 zero_gravi
  -- formats --
523
  constant float_single_c : std_ulogic_vector(1 downto 0) := "00"; -- single-precision (32-bit)
524 72 zero_gravi
--constant float_double_c : std_ulogic_vector(1 downto 0) := "01"; -- double-precision (64-bit)
525
--constant float_half_c   : std_ulogic_vector(1 downto 0) := "10"; -- half-precision (16-bit)
526
--constant float_quad_c   : std_ulogic_vector(1 downto 0) := "11"; -- quad-precision (128-bit)
527 52 zero_gravi
 
528 54 zero_gravi
  -- number class flags --
529
  constant fp_class_neg_inf_c    : natural := 0; -- negative infinity
530
  constant fp_class_neg_norm_c   : natural := 1; -- negative normal number
531
  constant fp_class_neg_denorm_c : natural := 2; -- negative subnormal number
532
  constant fp_class_neg_zero_c   : natural := 3; -- negative zero
533
  constant fp_class_pos_zero_c   : natural := 4; -- positive zero
534
  constant fp_class_pos_denorm_c : natural := 5; -- positive subnormal number
535
  constant fp_class_pos_norm_c   : natural := 6; -- positive normal number
536
  constant fp_class_pos_inf_c    : natural := 7; -- positive infinity
537
  constant fp_class_snan_c       : natural := 8; -- signaling NaN (sNaN)
538
  constant fp_class_qnan_c       : natural := 9; -- quiet NaN (qNaN)
539
 
540
  -- exception flags --
541
  constant fp_exc_nv_c : natural := 0; -- invalid operation
542
  constant fp_exc_dz_c : natural := 1; -- divide by zero
543
  constant fp_exc_of_c : natural := 2; -- overflow
544
  constant fp_exc_uf_c : natural := 3; -- underflow
545
  constant fp_exc_nx_c : natural := 4; -- inexact
546
 
547
  -- special values (single-precision) --
548
  constant fp_single_qnan_c     : std_ulogic_vector(31 downto 0) := x"7fc00000"; -- quiet NaN
549
  constant fp_single_snan_c     : std_ulogic_vector(31 downto 0) := x"7fa00000"; -- signaling NaN
550
  constant fp_single_pos_inf_c  : std_ulogic_vector(31 downto 0) := x"7f800000"; -- positive infinity
551
  constant fp_single_neg_inf_c  : std_ulogic_vector(31 downto 0) := x"ff800000"; -- negative infinity
552
  constant fp_single_pos_zero_c : std_ulogic_vector(31 downto 0) := x"00000000"; -- positive zero
553
  constant fp_single_neg_zero_c : std_ulogic_vector(31 downto 0) := x"80000000"; -- negative zero
554
 
555 29 zero_gravi
  -- RISC-V CSR Addresses -------------------------------------------------------------------
556
  -- -------------------------------------------------------------------------------------------
557 56 zero_gravi
  -- <<< standard read/write CSRs >>> --
558
  -- user floating-point CSRs --
559 68 zero_gravi
  constant csr_class_float_c    : std_ulogic_vector(09 downto 0) := x"00" & "00"; -- floating point
560 52 zero_gravi
  constant csr_fflags_c         : std_ulogic_vector(11 downto 0) := x"001";
561
  constant csr_frm_c            : std_ulogic_vector(11 downto 0) := x"002";
562
  constant csr_fcsr_c           : std_ulogic_vector(11 downto 0) := x"003";
563 56 zero_gravi
  -- machine trap setup --
564 63 zero_gravi
  constant csr_class_setup_c    : std_ulogic_vector(08 downto 0) := x"30" & '0'; -- trap setup
565 42 zero_gravi
  constant csr_mstatus_c        : std_ulogic_vector(11 downto 0) := x"300";
566
  constant csr_misa_c           : std_ulogic_vector(11 downto 0) := x"301";
567
  constant csr_mie_c            : std_ulogic_vector(11 downto 0) := x"304";
568
  constant csr_mtvec_c          : std_ulogic_vector(11 downto 0) := x"305";
569
  constant csr_mcounteren_c     : std_ulogic_vector(11 downto 0) := x"306";
570 62 zero_gravi
  --
571
  constant csr_mstatush_c       : std_ulogic_vector(11 downto 0) := x"310";
572 64 zero_gravi
  -- machine configuration --
573
  constant csr_class_envcfg_c   : std_ulogic_vector(06 downto 0) := x"3" & "000"; -- configuration
574
  constant csr_menvcfg_c        : std_ulogic_vector(11 downto 0) := x"30a";
575
  constant csr_menvcfgh_c       : std_ulogic_vector(11 downto 0) := x"31a";
576 56 zero_gravi
  -- machine counter setup --
577
  constant csr_cnt_setup_c      : std_ulogic_vector(06 downto 0) := x"3" & "001"; -- counter setup
578 42 zero_gravi
  constant csr_mcountinhibit_c  : std_ulogic_vector(11 downto 0) := x"320";
579
  constant csr_mhpmevent3_c     : std_ulogic_vector(11 downto 0) := x"323";
580
  constant csr_mhpmevent4_c     : std_ulogic_vector(11 downto 0) := x"324";
581
  constant csr_mhpmevent5_c     : std_ulogic_vector(11 downto 0) := x"325";
582
  constant csr_mhpmevent6_c     : std_ulogic_vector(11 downto 0) := x"326";
583
  constant csr_mhpmevent7_c     : std_ulogic_vector(11 downto 0) := x"327";
584
  constant csr_mhpmevent8_c     : std_ulogic_vector(11 downto 0) := x"328";
585
  constant csr_mhpmevent9_c     : std_ulogic_vector(11 downto 0) := x"329";
586
  constant csr_mhpmevent10_c    : std_ulogic_vector(11 downto 0) := x"32a";
587
  constant csr_mhpmevent11_c    : std_ulogic_vector(11 downto 0) := x"32b";
588
  constant csr_mhpmevent12_c    : std_ulogic_vector(11 downto 0) := x"32c";
589
  constant csr_mhpmevent13_c    : std_ulogic_vector(11 downto 0) := x"32d";
590
  constant csr_mhpmevent14_c    : std_ulogic_vector(11 downto 0) := x"32e";
591
  constant csr_mhpmevent15_c    : std_ulogic_vector(11 downto 0) := x"32f";
592
  constant csr_mhpmevent16_c    : std_ulogic_vector(11 downto 0) := x"330";
593
  constant csr_mhpmevent17_c    : std_ulogic_vector(11 downto 0) := x"331";
594
  constant csr_mhpmevent18_c    : std_ulogic_vector(11 downto 0) := x"332";
595
  constant csr_mhpmevent19_c    : std_ulogic_vector(11 downto 0) := x"333";
596
  constant csr_mhpmevent20_c    : std_ulogic_vector(11 downto 0) := x"334";
597
  constant csr_mhpmevent21_c    : std_ulogic_vector(11 downto 0) := x"335";
598
  constant csr_mhpmevent22_c    : std_ulogic_vector(11 downto 0) := x"336";
599
  constant csr_mhpmevent23_c    : std_ulogic_vector(11 downto 0) := x"337";
600
  constant csr_mhpmevent24_c    : std_ulogic_vector(11 downto 0) := x"338";
601
  constant csr_mhpmevent25_c    : std_ulogic_vector(11 downto 0) := x"339";
602
  constant csr_mhpmevent26_c    : std_ulogic_vector(11 downto 0) := x"33a";
603
  constant csr_mhpmevent27_c    : std_ulogic_vector(11 downto 0) := x"33b";
604
  constant csr_mhpmevent28_c    : std_ulogic_vector(11 downto 0) := x"33c";
605
  constant csr_mhpmevent29_c    : std_ulogic_vector(11 downto 0) := x"33d";
606
  constant csr_mhpmevent30_c    : std_ulogic_vector(11 downto 0) := x"33e";
607
  constant csr_mhpmevent31_c    : std_ulogic_vector(11 downto 0) := x"33f";
608 56 zero_gravi
  -- machine trap handling --
609 69 zero_gravi
  constant csr_class_trap_c     : std_ulogic_vector(07 downto 0) := x"34"; -- machine trap handling
610 42 zero_gravi
  constant csr_mscratch_c       : std_ulogic_vector(11 downto 0) := x"340";
611
  constant csr_mepc_c           : std_ulogic_vector(11 downto 0) := x"341";
612
  constant csr_mcause_c         : std_ulogic_vector(11 downto 0) := x"342";
613
  constant csr_mtval_c          : std_ulogic_vector(11 downto 0) := x"343";
614
  constant csr_mip_c            : std_ulogic_vector(11 downto 0) := x"344";
615 56 zero_gravi
  -- physical memory protection - configuration --
616 73 zero_gravi
  constant csr_class_pmpcfg_c   : std_ulogic_vector(09 downto 0) := x"3a" & "00"; -- pmp configuration
617 42 zero_gravi
  constant csr_pmpcfg0_c        : std_ulogic_vector(11 downto 0) := x"3a0";
618
  constant csr_pmpcfg1_c        : std_ulogic_vector(11 downto 0) := x"3a1";
619
  constant csr_pmpcfg2_c        : std_ulogic_vector(11 downto 0) := x"3a2";
620
  constant csr_pmpcfg3_c        : std_ulogic_vector(11 downto 0) := x"3a3";
621 56 zero_gravi
  -- physical memory protection - address --
622 73 zero_gravi
  constant csr_class_pmpaddr_c  : std_ulogic_vector(07 downto 0) := x"3b"; -- pmp address
623 42 zero_gravi
  constant csr_pmpaddr0_c       : std_ulogic_vector(11 downto 0) := x"3b0";
624
  constant csr_pmpaddr1_c       : std_ulogic_vector(11 downto 0) := x"3b1";
625
  constant csr_pmpaddr2_c       : std_ulogic_vector(11 downto 0) := x"3b2";
626
  constant csr_pmpaddr3_c       : std_ulogic_vector(11 downto 0) := x"3b3";
627
  constant csr_pmpaddr4_c       : std_ulogic_vector(11 downto 0) := x"3b4";
628
  constant csr_pmpaddr5_c       : std_ulogic_vector(11 downto 0) := x"3b5";
629
  constant csr_pmpaddr6_c       : std_ulogic_vector(11 downto 0) := x"3b6";
630
  constant csr_pmpaddr7_c       : std_ulogic_vector(11 downto 0) := x"3b7";
631
  constant csr_pmpaddr8_c       : std_ulogic_vector(11 downto 0) := x"3b8";
632
  constant csr_pmpaddr9_c       : std_ulogic_vector(11 downto 0) := x"3b9";
633
  constant csr_pmpaddr10_c      : std_ulogic_vector(11 downto 0) := x"3ba";
634
  constant csr_pmpaddr11_c      : std_ulogic_vector(11 downto 0) := x"3bb";
635
  constant csr_pmpaddr12_c      : std_ulogic_vector(11 downto 0) := x"3bc";
636
  constant csr_pmpaddr13_c      : std_ulogic_vector(11 downto 0) := x"3bd";
637
  constant csr_pmpaddr14_c      : std_ulogic_vector(11 downto 0) := x"3be";
638
  constant csr_pmpaddr15_c      : std_ulogic_vector(11 downto 0) := x"3bf";
639 72 zero_gravi
  -- trigger module registers --
640
  constant csr_class_trigger_c  : std_ulogic_vector(07 downto 0) := x"7a"; -- trigger registers
641
  constant csr_tselect_c        : std_ulogic_vector(11 downto 0) := x"7a0";
642
  constant csr_tdata1_c         : std_ulogic_vector(11 downto 0) := x"7a1";
643
  constant csr_tdata2_c         : std_ulogic_vector(11 downto 0) := x"7a2";
644
  constant csr_tdata3_c         : std_ulogic_vector(11 downto 0) := x"7a3";
645
  constant csr_tinfo_c          : std_ulogic_vector(11 downto 0) := x"7a4";
646
  constant csr_tcontrol_c       : std_ulogic_vector(11 downto 0) := x"7a5";
647
  constant csr_mcontext_c       : std_ulogic_vector(11 downto 0) := x"7a8";
648
  constant csr_scontext_c       : std_ulogic_vector(11 downto 0) := x"7aa";
649 59 zero_gravi
  -- debug mode registers --
650
  constant csr_class_debug_c    : std_ulogic_vector(09 downto 0) := x"7b" & "00"; -- debug registers
651
  constant csr_dcsr_c           : std_ulogic_vector(11 downto 0) := x"7b0";
652
  constant csr_dpc_c            : std_ulogic_vector(11 downto 0) := x"7b1";
653
  constant csr_dscratch0_c      : std_ulogic_vector(11 downto 0) := x"7b2";
654 56 zero_gravi
  -- machine counters/timers --
655 42 zero_gravi
  constant csr_mcycle_c         : std_ulogic_vector(11 downto 0) := x"b00";
656
  constant csr_minstret_c       : std_ulogic_vector(11 downto 0) := x"b02";
657
  --
658
  constant csr_mhpmcounter3_c   : std_ulogic_vector(11 downto 0) := x"b03";
659
  constant csr_mhpmcounter4_c   : std_ulogic_vector(11 downto 0) := x"b04";
660
  constant csr_mhpmcounter5_c   : std_ulogic_vector(11 downto 0) := x"b05";
661
  constant csr_mhpmcounter6_c   : std_ulogic_vector(11 downto 0) := x"b06";
662
  constant csr_mhpmcounter7_c   : std_ulogic_vector(11 downto 0) := x"b07";
663
  constant csr_mhpmcounter8_c   : std_ulogic_vector(11 downto 0) := x"b08";
664
  constant csr_mhpmcounter9_c   : std_ulogic_vector(11 downto 0) := x"b09";
665
  constant csr_mhpmcounter10_c  : std_ulogic_vector(11 downto 0) := x"b0a";
666
  constant csr_mhpmcounter11_c  : std_ulogic_vector(11 downto 0) := x"b0b";
667
  constant csr_mhpmcounter12_c  : std_ulogic_vector(11 downto 0) := x"b0c";
668
  constant csr_mhpmcounter13_c  : std_ulogic_vector(11 downto 0) := x"b0d";
669
  constant csr_mhpmcounter14_c  : std_ulogic_vector(11 downto 0) := x"b0e";
670
  constant csr_mhpmcounter15_c  : std_ulogic_vector(11 downto 0) := x"b0f";
671
  constant csr_mhpmcounter16_c  : std_ulogic_vector(11 downto 0) := x"b10";
672
  constant csr_mhpmcounter17_c  : std_ulogic_vector(11 downto 0) := x"b11";
673
  constant csr_mhpmcounter18_c  : std_ulogic_vector(11 downto 0) := x"b12";
674
  constant csr_mhpmcounter19_c  : std_ulogic_vector(11 downto 0) := x"b13";
675
  constant csr_mhpmcounter20_c  : std_ulogic_vector(11 downto 0) := x"b14";
676
  constant csr_mhpmcounter21_c  : std_ulogic_vector(11 downto 0) := x"b15";
677
  constant csr_mhpmcounter22_c  : std_ulogic_vector(11 downto 0) := x"b16";
678
  constant csr_mhpmcounter23_c  : std_ulogic_vector(11 downto 0) := x"b17";
679
  constant csr_mhpmcounter24_c  : std_ulogic_vector(11 downto 0) := x"b18";
680
  constant csr_mhpmcounter25_c  : std_ulogic_vector(11 downto 0) := x"b19";
681
  constant csr_mhpmcounter26_c  : std_ulogic_vector(11 downto 0) := x"b1a";
682
  constant csr_mhpmcounter27_c  : std_ulogic_vector(11 downto 0) := x"b1b";
683
  constant csr_mhpmcounter28_c  : std_ulogic_vector(11 downto 0) := x"b1c";
684
  constant csr_mhpmcounter29_c  : std_ulogic_vector(11 downto 0) := x"b1d";
685
  constant csr_mhpmcounter30_c  : std_ulogic_vector(11 downto 0) := x"b1e";
686
  constant csr_mhpmcounter31_c  : std_ulogic_vector(11 downto 0) := x"b1f";
687
  --
688
  constant csr_mcycleh_c        : std_ulogic_vector(11 downto 0) := x"b80";
689
  constant csr_minstreth_c      : std_ulogic_vector(11 downto 0) := x"b82";
690
  --
691
  constant csr_mhpmcounter3h_c  : std_ulogic_vector(11 downto 0) := x"b83";
692
  constant csr_mhpmcounter4h_c  : std_ulogic_vector(11 downto 0) := x"b84";
693
  constant csr_mhpmcounter5h_c  : std_ulogic_vector(11 downto 0) := x"b85";
694
  constant csr_mhpmcounter6h_c  : std_ulogic_vector(11 downto 0) := x"b86";
695
  constant csr_mhpmcounter7h_c  : std_ulogic_vector(11 downto 0) := x"b87";
696
  constant csr_mhpmcounter8h_c  : std_ulogic_vector(11 downto 0) := x"b88";
697
  constant csr_mhpmcounter9h_c  : std_ulogic_vector(11 downto 0) := x"b89";
698
  constant csr_mhpmcounter10h_c : std_ulogic_vector(11 downto 0) := x"b8a";
699
  constant csr_mhpmcounter11h_c : std_ulogic_vector(11 downto 0) := x"b8b";
700
  constant csr_mhpmcounter12h_c : std_ulogic_vector(11 downto 0) := x"b8c";
701
  constant csr_mhpmcounter13h_c : std_ulogic_vector(11 downto 0) := x"b8d";
702
  constant csr_mhpmcounter14h_c : std_ulogic_vector(11 downto 0) := x"b8e";
703
  constant csr_mhpmcounter15h_c : std_ulogic_vector(11 downto 0) := x"b8f";
704
  constant csr_mhpmcounter16h_c : std_ulogic_vector(11 downto 0) := x"b90";
705
  constant csr_mhpmcounter17h_c : std_ulogic_vector(11 downto 0) := x"b91";
706
  constant csr_mhpmcounter18h_c : std_ulogic_vector(11 downto 0) := x"b92";
707
  constant csr_mhpmcounter19h_c : std_ulogic_vector(11 downto 0) := x"b93";
708
  constant csr_mhpmcounter20h_c : std_ulogic_vector(11 downto 0) := x"b94";
709
  constant csr_mhpmcounter21h_c : std_ulogic_vector(11 downto 0) := x"b95";
710
  constant csr_mhpmcounter22h_c : std_ulogic_vector(11 downto 0) := x"b96";
711
  constant csr_mhpmcounter23h_c : std_ulogic_vector(11 downto 0) := x"b97";
712
  constant csr_mhpmcounter24h_c : std_ulogic_vector(11 downto 0) := x"b98";
713
  constant csr_mhpmcounter25h_c : std_ulogic_vector(11 downto 0) := x"b99";
714
  constant csr_mhpmcounter26h_c : std_ulogic_vector(11 downto 0) := x"b9a";
715
  constant csr_mhpmcounter27h_c : std_ulogic_vector(11 downto 0) := x"b9b";
716
  constant csr_mhpmcounter28h_c : std_ulogic_vector(11 downto 0) := x"b9c";
717
  constant csr_mhpmcounter29h_c : std_ulogic_vector(11 downto 0) := x"b9d";
718
  constant csr_mhpmcounter30h_c : std_ulogic_vector(11 downto 0) := x"b9e";
719
  constant csr_mhpmcounter31h_c : std_ulogic_vector(11 downto 0) := x"b9f";
720
 
721 56 zero_gravi
  -- <<< standard read-only CSRs >>> --
722
  -- user counters/timers --
723 42 zero_gravi
  constant csr_cycle_c          : std_ulogic_vector(11 downto 0) := x"c00";
724
  constant csr_time_c           : std_ulogic_vector(11 downto 0) := x"c01";
725
  constant csr_instret_c        : std_ulogic_vector(11 downto 0) := x"c02";
726 73 zero_gravi
  --
727
  constant csr_hpmcounter3_c    : std_ulogic_vector(11 downto 0) := x"c03";
728
  constant csr_hpmcounter4_c    : std_ulogic_vector(11 downto 0) := x"c04";
729
  constant csr_hpmcounter5_c    : std_ulogic_vector(11 downto 0) := x"c05";
730
  constant csr_hpmcounter6_c    : std_ulogic_vector(11 downto 0) := x"c06";
731
  constant csr_hpmcounter7_c    : std_ulogic_vector(11 downto 0) := x"c07";
732
  constant csr_hpmcounter8_c    : std_ulogic_vector(11 downto 0) := x"c08";
733
  constant csr_hpmcounter9_c    : std_ulogic_vector(11 downto 0) := x"c09";
734
  constant csr_hpmcounter10_c   : std_ulogic_vector(11 downto 0) := x"c0a";
735
  constant csr_hpmcounter11_c   : std_ulogic_vector(11 downto 0) := x"c0b";
736
  constant csr_hpmcounter12_c   : std_ulogic_vector(11 downto 0) := x"c0c";
737
  constant csr_hpmcounter13_c   : std_ulogic_vector(11 downto 0) := x"c0d";
738
  constant csr_hpmcounter14_c   : std_ulogic_vector(11 downto 0) := x"c0e";
739
  constant csr_hpmcounter15_c   : std_ulogic_vector(11 downto 0) := x"c0f";
740
  constant csr_hpmcounter16_c   : std_ulogic_vector(11 downto 0) := x"c10";
741
  constant csr_hpmcounter17_c   : std_ulogic_vector(11 downto 0) := x"c11";
742
  constant csr_hpmcounter18_c   : std_ulogic_vector(11 downto 0) := x"c12";
743
  constant csr_hpmcounter19_c   : std_ulogic_vector(11 downto 0) := x"c13";
744
  constant csr_hpmcounter20_c   : std_ulogic_vector(11 downto 0) := x"c14";
745
  constant csr_hpmcounter21_c   : std_ulogic_vector(11 downto 0) := x"c15";
746
  constant csr_hpmcounter22_c   : std_ulogic_vector(11 downto 0) := x"c16";
747
  constant csr_hpmcounter23_c   : std_ulogic_vector(11 downto 0) := x"c17";
748
  constant csr_hpmcounter24_c   : std_ulogic_vector(11 downto 0) := x"c18";
749
  constant csr_hpmcounter25_c   : std_ulogic_vector(11 downto 0) := x"c19";
750
  constant csr_hpmcounter26_c   : std_ulogic_vector(11 downto 0) := x"c1a";
751
  constant csr_hpmcounter27_c   : std_ulogic_vector(11 downto 0) := x"c1b";
752
  constant csr_hpmcounter28_c   : std_ulogic_vector(11 downto 0) := x"c1c";
753
  constant csr_hpmcounter29_c   : std_ulogic_vector(11 downto 0) := x"c1d";
754
  constant csr_hpmcounter30_c   : std_ulogic_vector(11 downto 0) := x"c1e";
755
  constant csr_hpmcounter31_c   : std_ulogic_vector(11 downto 0) := x"c1f";
756
  --
757 42 zero_gravi
  constant csr_cycleh_c         : std_ulogic_vector(11 downto 0) := x"c80";
758
  constant csr_timeh_c          : std_ulogic_vector(11 downto 0) := x"c81";
759
  constant csr_instreth_c       : std_ulogic_vector(11 downto 0) := x"c82";
760 73 zero_gravi
  --
761
  constant csr_hpmcounter3h_c   : std_ulogic_vector(11 downto 0) := x"c83";
762
  constant csr_hpmcounter4h_c   : std_ulogic_vector(11 downto 0) := x"c84";
763
  constant csr_hpmcounter5h_c   : std_ulogic_vector(11 downto 0) := x"c85";
764
  constant csr_hpmcounter6h_c   : std_ulogic_vector(11 downto 0) := x"c86";
765
  constant csr_hpmcounter7h_c   : std_ulogic_vector(11 downto 0) := x"c87";
766
  constant csr_hpmcounter8h_c   : std_ulogic_vector(11 downto 0) := x"c88";
767
  constant csr_hpmcounter9h_c   : std_ulogic_vector(11 downto 0) := x"c89";
768
  constant csr_hpmcounter10h_c  : std_ulogic_vector(11 downto 0) := x"c8a";
769
  constant csr_hpmcounter11h_c  : std_ulogic_vector(11 downto 0) := x"c8b";
770
  constant csr_hpmcounter12h_c  : std_ulogic_vector(11 downto 0) := x"c8c";
771
  constant csr_hpmcounter13h_c  : std_ulogic_vector(11 downto 0) := x"c8d";
772
  constant csr_hpmcounter14h_c  : std_ulogic_vector(11 downto 0) := x"c8e";
773
  constant csr_hpmcounter15h_c  : std_ulogic_vector(11 downto 0) := x"c8f";
774
  constant csr_hpmcounter16h_c  : std_ulogic_vector(11 downto 0) := x"c90";
775
  constant csr_hpmcounter17h_c  : std_ulogic_vector(11 downto 0) := x"c91";
776
  constant csr_hpmcounter18h_c  : std_ulogic_vector(11 downto 0) := x"c92";
777
  constant csr_hpmcounter19h_c  : std_ulogic_vector(11 downto 0) := x"c93";
778
  constant csr_hpmcounter20h_c  : std_ulogic_vector(11 downto 0) := x"c94";
779
  constant csr_hpmcounter21h_c  : std_ulogic_vector(11 downto 0) := x"c95";
780
  constant csr_hpmcounter22h_c  : std_ulogic_vector(11 downto 0) := x"c96";
781
  constant csr_hpmcounter23h_c  : std_ulogic_vector(11 downto 0) := x"c97";
782
  constant csr_hpmcounter24h_c  : std_ulogic_vector(11 downto 0) := x"c98";
783
  constant csr_hpmcounter25h_c  : std_ulogic_vector(11 downto 0) := x"c99";
784
  constant csr_hpmcounter26h_c  : std_ulogic_vector(11 downto 0) := x"c9a";
785
  constant csr_hpmcounter27h_c  : std_ulogic_vector(11 downto 0) := x"c9b";
786
  constant csr_hpmcounter28h_c  : std_ulogic_vector(11 downto 0) := x"c9c";
787
  constant csr_hpmcounter29h_c  : std_ulogic_vector(11 downto 0) := x"c9d";
788
  constant csr_hpmcounter30h_c  : std_ulogic_vector(11 downto 0) := x"c9e";
789
  constant csr_hpmcounter31h_c  : std_ulogic_vector(11 downto 0) := x"c9f";
790 56 zero_gravi
  -- machine information registers --
791 42 zero_gravi
  constant csr_mvendorid_c      : std_ulogic_vector(11 downto 0) := x"f11";
792
  constant csr_marchid_c        : std_ulogic_vector(11 downto 0) := x"f12";
793
  constant csr_mimpid_c         : std_ulogic_vector(11 downto 0) := x"f13";
794
  constant csr_mhartid_c        : std_ulogic_vector(11 downto 0) := x"f14";
795 62 zero_gravi
  constant csr_mconfigptr_c     : std_ulogic_vector(11 downto 0) := x"f15";
796 42 zero_gravi
 
797 72 zero_gravi
  -- <<< NEORV32-specific (custom) read-only CSRs >>> ---
798 73 zero_gravi
  -- machine extended ISA extensions information --
799 72 zero_gravi
  constant csr_mxisa_c          : std_ulogic_vector(11 downto 0) := x"fc0";
800
 
801 73 zero_gravi
  -- CPU Co-Processor IDs (one-hot!) --------------------------------------------------------
802 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
803 73 zero_gravi
  constant cp_sel_shifter_c  : std_ulogic_vector(7 downto 0) := "00000001"; -- CP0: shift operations (base ISA)
804
  constant cp_sel_muldiv_c   : std_ulogic_vector(7 downto 0) := "00000010"; -- CP1: multiplication/division operations ('M' extensions)
805
  constant cp_sel_bitmanip_c : std_ulogic_vector(7 downto 0) := "00000100"; -- CP2: bit manipulation ('B' extensions)
806
  constant cp_sel_fpu_c      : std_ulogic_vector(7 downto 0) := "00001000"; -- CP3: floating-point unit ('Zfinx' extension)
807
  constant cp_sel_cfu_c      : std_ulogic_vector(7 downto 0) := "00010000"; -- CP4: custom instructions CFU ('Zxcfu' extension)
808
--constant cp_sel_res5_c     : std_ulogic_vector(7 downto 0) := "00100000"; -- CP5: reserved
809
--constant cp_sel_res6_c     : std_ulogic_vector(7 downto 0) := "01000000"; -- CP6: reserved
810
--constant cp_sel_res7_c     : std_ulogic_vector(7 downto 0) := "10000000"; -- CP7: reserved
811 2 zero_gravi
 
812 73 zero_gravi
  -- ALU Function Codes [DO NOT CHANGE ENCODING!] -------------------------------------------
813 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
814 73 zero_gravi
  constant alu_op_add_c  : std_ulogic_vector(2 downto 0) := "000"; -- result <= A + B
815
  constant alu_op_sub_c  : std_ulogic_vector(2 downto 0) := "001"; -- result <= A - B
816
  constant alu_op_cp_c   : std_ulogic_vector(2 downto 0) := "010"; -- result <= co-processor
817
  constant alu_op_slt_c  : std_ulogic_vector(2 downto 0) := "011"; -- result <= A < B
818
  constant alu_op_movb_c : std_ulogic_vector(2 downto 0) := "100"; -- result <= B
819
  constant alu_op_xor_c  : std_ulogic_vector(2 downto 0) := "101"; -- result <= A xor B
820
  constant alu_op_or_c   : std_ulogic_vector(2 downto 0) := "110"; -- result <= A or B
821
  constant alu_op_and_c  : std_ulogic_vector(2 downto 0) := "111"; -- result <= A and B
822 2 zero_gravi
 
823 73 zero_gravi
  -- Register File Input Select -------------------------------------------------------------
824
  -- -------------------------------------------------------------------------------------------
825
  constant rf_mux_alu_c : std_ulogic_vector(1 downto 0) := "00"; -- register file <= alu result
826
  constant rf_mux_mem_c : std_ulogic_vector(1 downto 0) := "01"; -- register file <= memory read data
827
  constant rf_mux_csr_c : std_ulogic_vector(1 downto 0) := "10"; -- register file <= CSR read data
828
  constant rf_mux_npc_c : std_ulogic_vector(1 downto 0) := "11"; -- register file <= next-PC (for branch-and-link)
829
 
830 12 zero_gravi
  -- Trap ID Codes --------------------------------------------------------------------------
831
  -- -------------------------------------------------------------------------------------------
832 64 zero_gravi
  -- MSB:   1 = async exception (IRQ), 0 = sync exception (e.g. ebreak)
833
  -- MSB-1: 1 = entry to debug mode, 0 = normal trapping
834 72 zero_gravi
  -- RISC-V compliant synchronous exceptions --
835 59 zero_gravi
  constant trap_ima_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00000"; -- 0.0:  instruction misaligned
836
  constant trap_iba_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00001"; -- 0.1:  instruction access fault
837
  constant trap_iil_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00010"; -- 0.2:  illegal instruction
838
  constant trap_brk_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00011"; -- 0.3:  breakpoint
839
  constant trap_lma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00100"; -- 0.4:  load address misaligned
840
  constant trap_lbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00101"; -- 0.5:  load access fault
841
  constant trap_sma_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00110"; -- 0.6:  store address misaligned
842
  constant trap_sbe_c      : std_ulogic_vector(6 downto 0) := "0" & "0" & "00111"; -- 0.7:  store access fault
843
  constant trap_uenv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01000"; -- 0.8:  environment call from u-mode
844 72 zero_gravi
--constant trap_senv_c  x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01001"; -- 0.9:  environment call from s-mode
845
--constant trap_henv_c  x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01010"; -- 0.10: environment call from h-mode
846 59 zero_gravi
  constant trap_menv_c     : std_ulogic_vector(6 downto 0) := "0" & "0" & "01011"; -- 0.11: environment call from m-mode
847 72 zero_gravi
--constant trap_ipf_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01100"; -- 0.12: instruction page fault
848
--constant trap_lpf_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01101"; -- 0.13: load page fault
849
--constant trap_???_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01110"; -- 0.14: reserved
850
--constant trap_lpf_c   x  : std_ulogic_vector(6 downto 0) := "0" & "0" & "01111"; -- 0.15: store page fault
851
  -- NEORV32-specific (custom) synchronous exceptions --
852
-- none implemented yet
853
  -- RISC-V compliant asynchronous exceptions (interrupts) --
854 59 zero_gravi
  constant trap_msi_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00011"; -- 1.3:  machine software interrupt
855
  constant trap_mti_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "00111"; -- 1.7:  machine timer interrupt
856
  constant trap_mei_c      : std_ulogic_vector(6 downto 0) := "1" & "0" & "01011"; -- 1.11: machine external interrupt
857 72 zero_gravi
  -- NEORV32-specific (custom) asynchronous exceptions (interrupts) --
858 59 zero_gravi
  constant trap_firq0_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10000"; -- 1.16: fast interrupt 0
859
  constant trap_firq1_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10001"; -- 1.17: fast interrupt 1
860
  constant trap_firq2_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10010"; -- 1.18: fast interrupt 2
861
  constant trap_firq3_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10011"; -- 1.19: fast interrupt 3
862
  constant trap_firq4_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10100"; -- 1.20: fast interrupt 4
863
  constant trap_firq5_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10101"; -- 1.21: fast interrupt 5
864
  constant trap_firq6_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10110"; -- 1.22: fast interrupt 6
865
  constant trap_firq7_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "10111"; -- 1.23: fast interrupt 7
866
  constant trap_firq8_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11000"; -- 1.24: fast interrupt 8
867
  constant trap_firq9_c    : std_ulogic_vector(6 downto 0) := "1" & "0" & "11001"; -- 1.25: fast interrupt 9
868
  constant trap_firq10_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11010"; -- 1.26: fast interrupt 10
869
  constant trap_firq11_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11011"; -- 1.27: fast interrupt 11
870
  constant trap_firq12_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11100"; -- 1.28: fast interrupt 12
871
  constant trap_firq13_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11101"; -- 1.29: fast interrupt 13
872
  constant trap_firq14_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11110"; -- 1.30: fast interrupt 14
873
  constant trap_firq15_c   : std_ulogic_vector(6 downto 0) := "1" & "0" & "11111"; -- 1.31: fast interrupt 15
874 72 zero_gravi
  -- entering debug mode (sync./async. exceptions) --
875
  constant trap_db_break_c : std_ulogic_vector(6 downto 0) := "0" & "1" & "00001"; -- break instruction (sync)
876
  constant trap_db_hw_c    : std_ulogic_vector(6 downto 0) := "0" & "1" & "00010"; -- hardware trigger (sync)
877
  constant trap_db_halt_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00011"; -- external halt request (async)
878
  constant trap_db_step_c  : std_ulogic_vector(6 downto 0) := "1" & "1" & "00100"; -- single-stepping (async)
879 12 zero_gravi
 
880 2 zero_gravi
  -- CPU Control Exception System -----------------------------------------------------------
881
  -- -------------------------------------------------------------------------------------------
882
  -- exception source bits --
883 73 zero_gravi
  constant exc_iaccess_c   : natural :=  0; -- instruction access fault
884
  constant exc_iillegal_c  : natural :=  1; -- illegal instruction
885
  constant exc_ialign_c    : natural :=  2; -- instruction address misaligned
886
  constant exc_m_envcall_c : natural :=  3; -- ENV call from m-mode
887
  constant exc_u_envcall_c : natural :=  4; -- ENV call from u-mode
888
  constant exc_break_c     : natural :=  5; -- breakpoint
889
  constant exc_salign_c    : natural :=  6; -- store address misaligned
890
  constant exc_lalign_c    : natural :=  7; -- load address misaligned
891
  constant exc_saccess_c   : natural :=  8; -- store access fault
892
  constant exc_laccess_c   : natural :=  9; -- load access fault
893 59 zero_gravi
  -- for debug mode only --
894 73 zero_gravi
  constant exc_db_break_c  : natural := 10; -- enter debug mode via ebreak instruction ("sync EXCEPTION")
895
  constant exc_db_hw_c     : natural := 11; -- enter debug mode via hw trigger ("sync EXCEPTION")
896 14 zero_gravi
  --
897 73 zero_gravi
  constant exc_width_c     : natural := 12; -- length of this list in bits
898 2 zero_gravi
  -- interrupt source bits --
899 73 zero_gravi
  constant irq_msw_irq_c   : natural :=  0; -- machine software interrupt
900
  constant irq_mtime_irq_c : natural :=  1; -- machine timer interrupt
901
  constant irq_mext_irq_c  : natural :=  2; -- machine external interrupt
902
  constant irq_firq_0_c    : natural :=  3; -- fast interrupt channel 0
903
  constant irq_firq_1_c    : natural :=  4; -- fast interrupt channel 1
904
  constant irq_firq_2_c    : natural :=  5; -- fast interrupt channel 2
905
  constant irq_firq_3_c    : natural :=  6; -- fast interrupt channel 3
906
  constant irq_firq_4_c    : natural :=  7; -- fast interrupt channel 4
907
  constant irq_firq_5_c    : natural :=  8; -- fast interrupt channel 5
908
  constant irq_firq_6_c    : natural :=  9; -- fast interrupt channel 6
909
  constant irq_firq_7_c    : natural := 10; -- fast interrupt channel 7
910
  constant irq_firq_8_c    : natural := 11; -- fast interrupt channel 8
911
  constant irq_firq_9_c    : natural := 12; -- fast interrupt channel 9
912
  constant irq_firq_10_c   : natural := 13; -- fast interrupt channel 10
913
  constant irq_firq_11_c   : natural := 14; -- fast interrupt channel 11
914
  constant irq_firq_12_c   : natural := 15; -- fast interrupt channel 12
915
  constant irq_firq_13_c   : natural := 16; -- fast interrupt channel 13
916
  constant irq_firq_14_c   : natural := 17; -- fast interrupt channel 14
917
  constant irq_firq_15_c   : natural := 18; -- fast interrupt channel 15
918 59 zero_gravi
  -- for debug mode only --
919 73 zero_gravi
  constant irq_db_halt_c   : natural := 19; -- enter debug mode via external halt request ("async IRQ")
920
  constant irq_db_step_c   : natural := 20; -- enter debug mode via single-stepping ("async IRQ")
921 14 zero_gravi
  --
922 73 zero_gravi
  constant irq_width_c     : natural := 21; -- length of this list in bits
923 2 zero_gravi
 
924 15 zero_gravi
  -- CPU Privilege Modes --------------------------------------------------------------------
925
  -- -------------------------------------------------------------------------------------------
926 73 zero_gravi
  constant priv_mode_m_c : std_ulogic := '1'; -- machine mode
927
  constant priv_mode_u_c : std_ulogic := '0'; -- user mode
928 15 zero_gravi
 
929 42 zero_gravi
  -- HPM Event System -----------------------------------------------------------------------
930
  -- -------------------------------------------------------------------------------------------
931
  constant hpmcnt_event_cy_c      : natural := 0;  -- Active cycle
932 56 zero_gravi
  constant hpmcnt_event_never_c   : natural := 1;  -- Unused / never (actually, this would be used for TIME)
933 42 zero_gravi
  constant hpmcnt_event_ir_c      : natural := 2;  -- Retired instruction
934
  constant hpmcnt_event_cir_c     : natural := 3;  -- Retired compressed instruction
935
  constant hpmcnt_event_wait_if_c : natural := 4;  -- Instruction fetch memory wait cycle
936
  constant hpmcnt_event_wait_ii_c : natural := 5;  -- Instruction issue wait cycle
937 45 zero_gravi
  constant hpmcnt_event_wait_mc_c : natural := 6;  -- Multi-cycle ALU-operation wait cycle
938
  constant hpmcnt_event_load_c    : natural := 7;  -- Load operation
939
  constant hpmcnt_event_store_c   : natural := 8;  -- Store operation
940
  constant hpmcnt_event_wait_ls_c : natural := 9;  -- Load/store memory wait cycle
941
  constant hpmcnt_event_jump_c    : natural := 10; -- Unconditional jump
942
  constant hpmcnt_event_branch_c  : natural := 11; -- Conditional branch (taken or not taken)
943
  constant hpmcnt_event_tbranch_c : natural := 12; -- Conditional taken branch
944
  constant hpmcnt_event_trap_c    : natural := 13; -- Entered trap
945
  constant hpmcnt_event_illegal_c : natural := 14; -- Illegal instruction exception
946 42 zero_gravi
  --
947 45 zero_gravi
  constant hpmcnt_event_size_c    : natural := 15; -- length of this list
948 42 zero_gravi
 
949 72 zero_gravi
  -- SoC Clock Generator --------------------------------------------------------------------
950 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
951
  constant clk_div2_c    : natural := 0;
952
  constant clk_div4_c    : natural := 1;
953
  constant clk_div8_c    : natural := 2;
954
  constant clk_div64_c   : natural := 3;
955
  constant clk_div128_c  : natural := 4;
956
  constant clk_div1024_c : natural := 5;
957
  constant clk_div2048_c : natural := 6;
958
  constant clk_div4096_c : natural := 7;
959
 
960
  -- Component: NEORV32 Processor Top Entity ------------------------------------------------
961
  -- -------------------------------------------------------------------------------------------
962
  component neorv32_top
963
    generic (
964
      -- General --
965 62 zero_gravi
      CLOCK_FREQUENCY              : natural;           -- clock frequency of clk_i in Hz
966 49 zero_gravi
      HW_THREAD_ID                 : natural := 0;      -- hardware thread id (32-bit)
967 62 zero_gravi
      INT_BOOTLOADER_EN            : boolean := false;  -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
968 59 zero_gravi
      -- On-Chip Debugger (OCD) --
969
      ON_CHIP_DEBUGGER_EN          : boolean := false;  -- implement on-chip debugger
970 2 zero_gravi
      -- RISC-V CPU Extensions --
971 39 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean := false;  -- implement atomic extension?
972 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean := false;  -- implement bit-manipulation extension?
973 18 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
974 8 zero_gravi
      CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
975 61 zero_gravi
      CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement mul/div extension?
976 18 zero_gravi
      CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
977 57 zero_gravi
      CPU_EXTENSION_RISCV_Zfinx    : boolean := false;  -- implement 32-bit floating-point extension (using INT regs!)
978 8 zero_gravi
      CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
979 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean := true;   -- implement base counters?
980
      CPU_EXTENSION_RISCV_Zihpm    : boolean := false;  -- implement hardware performance monitors?
981 39 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean := false;  -- implement instruction stream sync.?
982 62 zero_gravi
      CPU_EXTENSION_RISCV_Zmmul    : boolean := false;  -- implement multiply-only M sub-extension?
983 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu    : boolean := false;  -- implement custom (instr.) functions unit?
984
      -- Tuning Options --
985 34 zero_gravi
      FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
986
      FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
987 56 zero_gravi
      CPU_CNT_WIDTH                : natural := 64;     -- total width of CPU cycle and instret counters (0..64)
988 62 zero_gravi
      CPU_IPB_ENTRIES              : natural := 2;      -- entries is instruction prefetch buffer, has to be a power of 2
989 15 zero_gravi
      -- Physical Memory Protection (PMP) --
990 73 zero_gravi
      PMP_NUM_REGIONS              : natural := 0;      -- number of regions (0..16)
991
      PMP_MIN_GRANULARITY          : natural := 4;      -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
992 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
993 47 zero_gravi
      HPM_NUM_CNTS                 : natural := 0;      -- number of implemented HPM counters (0..29)
994 60 zero_gravi
      HPM_CNT_WIDTH                : natural := 40;     -- total size of HPM counters (0..64)
995 61 zero_gravi
      -- Internal Instruction memory (IMEM) --
996 62 zero_gravi
      MEM_INT_IMEM_EN              : boolean := false;  -- implement processor-internal instruction memory
997 8 zero_gravi
      MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
998 61 zero_gravi
      -- Internal Data memory (DMEM) --
999 62 zero_gravi
      MEM_INT_DMEM_EN              : boolean := false;  -- implement processor-internal data memory
1000 8 zero_gravi
      MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
1001 70 zero_gravi
      -- Internal Instruction Cache (iCACHE) --
1002 44 zero_gravi
      ICACHE_EN                    : boolean := false;  -- implement instruction cache
1003 41 zero_gravi
      ICACHE_NUM_BLOCKS            : natural := 4;      -- i-cache: number of blocks (min 1), has to be a power of 2
1004
      ICACHE_BLOCK_SIZE            : natural := 64;     -- i-cache: block size in bytes (min 4), has to be a power of 2
1005 45 zero_gravi
      ICACHE_ASSOCIATIVITY         : natural := 1;      -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
1006 61 zero_gravi
      -- External memory interface (WISHBONE) --
1007 44 zero_gravi
      MEM_EXT_EN                   : boolean := false;  -- implement external memory bus interface?
1008 57 zero_gravi
      MEM_EXT_TIMEOUT              : natural := 255;    -- cycles after a pending bus access auto-terminates (0 = disabled)
1009 62 zero_gravi
      MEM_EXT_PIPE_MODE            : boolean := false;  -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1010
      MEM_EXT_BIG_ENDIAN           : boolean := false;  -- byte order: true=big-endian, false=little-endian
1011
      MEM_EXT_ASYNC_RX             : boolean := false;  -- use register buffer for RX data when false
1012 61 zero_gravi
      -- Stream link interface (SLINK) --
1013
      SLINK_NUM_TX                 : natural := 0;      -- number of TX links (0..8)
1014
      SLINK_NUM_RX                 : natural := 0;      -- number of TX links (0..8)
1015
      SLINK_TX_FIFO                : natural := 1;      -- TX fifo depth, has to be a power of two
1016
      SLINK_RX_FIFO                : natural := 1;      -- RX fifo depth, has to be a power of two
1017
      -- External Interrupts Controller (XIRQ) --
1018
      XIRQ_NUM_CH                  : natural := 0;      -- number of external IRQ channels (0..32)
1019 62 zero_gravi
      XIRQ_TRIGGER_TYPE            : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger type: 0=level, 1=edge
1020
      XIRQ_TRIGGER_POLARITY        : std_ulogic_vector(31 downto 0) := x"FFFFFFFF"; -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
1021 2 zero_gravi
      -- Processor peripherals --
1022 62 zero_gravi
      IO_GPIO_EN                   : boolean := false;  -- implement general purpose input/output port unit (GPIO)?
1023
      IO_MTIME_EN                  : boolean := false;  -- implement machine system timer (MTIME)?
1024
      IO_UART0_EN                  : boolean := false;  -- implement primary universal asynchronous receiver/transmitter (UART0)?
1025 65 zero_gravi
      IO_UART0_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
1026
      IO_UART0_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
1027 62 zero_gravi
      IO_UART1_EN                  : boolean := false;  -- implement secondary universal asynchronous receiver/transmitter (UART1)?
1028 65 zero_gravi
      IO_UART1_RX_FIFO             : natural := 1;      -- RX fifo depth, has to be a power of two, min 1
1029
      IO_UART1_TX_FIFO             : natural := 1;      -- TX fifo depth, has to be a power of two, min 1
1030 62 zero_gravi
      IO_SPI_EN                    : boolean := false;  -- implement serial peripheral interface (SPI)?
1031
      IO_TWI_EN                    : boolean := false;  -- implement two-wire interface (TWI)?
1032
      IO_PWM_NUM_CH                : natural := 0;      -- number of PWM channels to implement (0..60); 0 = disabled
1033
      IO_WDT_EN                    : boolean := false;  -- implement watch dog timer (WDT)?
1034 44 zero_gravi
      IO_TRNG_EN                   : boolean := false;  -- implement true random number generator (TRNG)?
1035 47 zero_gravi
      IO_CFS_EN                    : boolean := false;  -- implement custom functions subsystem (CFS)?
1036 56 zero_gravi
      IO_CFS_CONFIG                : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom CFS configuration generic
1037 52 zero_gravi
      IO_CFS_IN_SIZE               : positive := 32;    -- size of CFS input conduit in bits
1038
      IO_CFS_OUT_SIZE              : positive := 32;    -- size of CFS output conduit in bits
1039 62 zero_gravi
      IO_NEOLED_EN                 : boolean := false;  -- implement NeoPixel-compatible smart LED interface (NEOLED)?
1040 67 zero_gravi
      IO_NEOLED_TX_FIFO            : natural := 1;      -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
1041 70 zero_gravi
      IO_GPTMR_EN                  : boolean := false;  -- implement general purpose timer (GPTMR)?
1042
      IO_XIP_EN                    : boolean := false   -- implement execute in place module (XIP)?
1043 2 zero_gravi
    );
1044
    port (
1045
      -- Global control --
1046 62 zero_gravi
      clk_i          : in  std_ulogic; -- global clock, rising edge
1047
      rstn_i         : in  std_ulogic; -- global reset, low-active, async
1048 59 zero_gravi
      -- JTAG on-chip debugger interface --
1049 62 zero_gravi
      jtag_trst_i    : in  std_ulogic := 'U'; -- low-active TAP reset (optional)
1050
      jtag_tck_i     : in  std_ulogic := 'U'; -- serial clock
1051
      jtag_tdi_i     : in  std_ulogic := 'U'; -- serial data input
1052 61 zero_gravi
      jtag_tdo_o     : out std_ulogic;        -- serial data output
1053 62 zero_gravi
      jtag_tms_i     : in  std_ulogic := 'U'; -- mode select
1054 49 zero_gravi
      -- Wishbone bus interface (available if MEM_EXT_EN = true) --
1055 61 zero_gravi
      wb_tag_o       : out std_ulogic_vector(02 downto 0); -- request tag
1056
      wb_adr_o       : out std_ulogic_vector(31 downto 0); -- address
1057 62 zero_gravi
      wb_dat_i       : in  std_ulogic_vector(31 downto 0) := (others => 'U'); -- read data
1058 61 zero_gravi
      wb_dat_o       : out std_ulogic_vector(31 downto 0); -- write data
1059
      wb_we_o        : out std_ulogic; -- read/write
1060
      wb_sel_o       : out std_ulogic_vector(03 downto 0); -- byte enable
1061
      wb_stb_o       : out std_ulogic; -- strobe
1062
      wb_cyc_o       : out std_ulogic; -- valid cycle
1063
      wb_lock_o      : out std_ulogic; -- exclusive access request
1064 62 zero_gravi
      wb_ack_i       : in  std_ulogic := 'L'; -- transfer acknowledge
1065
      wb_err_i       : in  std_ulogic := 'L'; -- transfer error
1066 44 zero_gravi
      -- Advanced memory control signals (available if MEM_EXT_EN = true) --
1067 61 zero_gravi
      fence_o        : out std_ulogic; -- indicates an executed FENCE operation
1068
      fencei_o       : out std_ulogic; -- indicates an executed FENCEI operation
1069 70 zero_gravi
      -- XIP (execute in place via SPI) signals (available if IO_XIP_EN = true) --
1070
      xip_csn_o      : out std_ulogic; -- chip-select, low-active
1071
      xip_clk_o      : out std_ulogic; -- serial clock
1072
      xip_sdi_i      : in  std_ulogic := 'L'; -- device data input
1073
      xip_sdo_o      : out std_ulogic; -- controller data output
1074 61 zero_gravi
      -- TX stream interfaces (available if SLINK_NUM_TX > 0) --
1075
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1076
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1077 62 zero_gravi
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- ready to send
1078 61 zero_gravi
      -- RX stream interfaces (available if SLINK_NUM_RX > 0) --
1079 62 zero_gravi
      slink_rx_dat_i : in  sdata_8x32_t := (others => (others => 'U')); -- input data
1080
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0) := (others => 'L'); -- valid input
1081 61 zero_gravi
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0); -- ready to receive
1082 49 zero_gravi
      -- GPIO (available if IO_GPIO_EN = true) --
1083 61 zero_gravi
      gpio_o         : out std_ulogic_vector(63 downto 0); -- parallel output
1084 62 zero_gravi
      gpio_i         : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- parallel input
1085 50 zero_gravi
      -- primary UART0 (available if IO_UART0_EN = true) --
1086 61 zero_gravi
      uart0_txd_o    : out std_ulogic; -- UART0 send data
1087 62 zero_gravi
      uart0_rxd_i    : in  std_ulogic := 'U'; -- UART0 receive data
1088 61 zero_gravi
      uart0_rts_o    : out std_ulogic; -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
1089 62 zero_gravi
      uart0_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART0.TX allowed to transmit, low-active, optional
1090 50 zero_gravi
      -- secondary UART1 (available if IO_UART1_EN = true) --
1091 61 zero_gravi
      uart1_txd_o    : out std_ulogic; -- UART1 send data
1092 62 zero_gravi
      uart1_rxd_i    : in  std_ulogic := 'U'; -- UART1 receive data
1093 61 zero_gravi
      uart1_rts_o    : out std_ulogic; -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
1094 62 zero_gravi
      uart1_cts_i    : in  std_ulogic := 'L'; -- hw flow control: UART1.TX allowed to transmit, low-active, optional
1095 49 zero_gravi
      -- SPI (available if IO_SPI_EN = true) --
1096 61 zero_gravi
      spi_sck_o      : out std_ulogic; -- SPI serial clock
1097
      spi_sdo_o      : out std_ulogic; -- controller data out, peripheral data in
1098 62 zero_gravi
      spi_sdi_i      : in  std_ulogic := 'U'; -- controller data in, peripheral data out
1099 61 zero_gravi
      spi_csn_o      : out std_ulogic_vector(07 downto 0); -- SPI CS
1100 49 zero_gravi
      -- TWI (available if IO_TWI_EN = true) --
1101 72 zero_gravi
      twi_sda_io     : inout std_logic; -- twi serial data line
1102
      twi_scl_io     : inout std_logic; -- twi serial clock line
1103 60 zero_gravi
      -- PWM (available if IO_PWM_NUM_CH > 0) --
1104 70 zero_gravi
      pwm_o          : out std_ulogic_vector(59 downto 0); -- pwm channels
1105 47 zero_gravi
      -- Custom Functions Subsystem IO --
1106 62 zero_gravi
      cfs_in_i       : in  std_ulogic_vector(IO_CFS_IN_SIZE-1  downto 0) := (others => 'U'); -- custom CFS inputs conduit
1107 61 zero_gravi
      cfs_out_o      : out std_ulogic_vector(IO_CFS_OUT_SIZE-1 downto 0); -- custom CFS outputs conduit
1108 52 zero_gravi
      -- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
1109 61 zero_gravi
      neoled_o       : out std_ulogic; -- async serial data line
1110 59 zero_gravi
      -- System time --
1111 62 zero_gravi
      mtime_i        : in  std_ulogic_vector(63 downto 0) := (others => 'U'); -- current system time from ext. MTIME (if IO_MTIME_EN = false)
1112 61 zero_gravi
      mtime_o        : out std_ulogic_vector(63 downto 0); -- current system time from int. MTIME (if IO_MTIME_EN = true)
1113
      -- External platform interrupts (available if XIRQ_NUM_CH > 0) --
1114 70 zero_gravi
      xirq_i         : in  std_ulogic_vector(31 downto 0) := (others => 'L'); -- IRQ channels
1115 61 zero_gravi
      -- CPU Interrupts --
1116 62 zero_gravi
      mtime_irq_i    : in  std_ulogic := 'L'; -- machine timer interrupt, available if IO_MTIME_EN = false
1117
      msw_irq_i      : in  std_ulogic := 'L'; -- machine software interrupt
1118
      mext_irq_i     : in  std_ulogic := 'L'  -- machine external interrupt
1119 2 zero_gravi
    );
1120
  end component;
1121
 
1122 4 zero_gravi
  -- Component: CPU Top Entity --------------------------------------------------------------
1123
  -- -------------------------------------------------------------------------------------------
1124
  component neorv32_cpu
1125
    generic (
1126
      -- General --
1127 62 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1128
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1129
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1130 4 zero_gravi
      -- RISC-V CPU Extensions --
1131 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1132 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1133 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1134
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1135
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1136
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1137
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1138
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1139 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1140
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1141 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1142
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1143 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu    : boolean; -- implement custom (instr.) functions unit?
1144 62 zero_gravi
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1145 72 zero_gravi
      -- Tuning Options --
1146 62 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1147
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1148
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1149
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1150 15 zero_gravi
      -- Physical Memory Protection (PMP) --
1151 73 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..16)
1152
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
1153 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1154 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1155
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1156 4 zero_gravi
    );
1157
    port (
1158
      -- global control --
1159 71 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1160
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1161
      sleep_o       : out std_ulogic; -- cpu is in sleep mode when set
1162
      debug_o       : out std_ulogic; -- cpu is in debug mode when set
1163 12 zero_gravi
      -- instruction bus interface --
1164 71 zero_gravi
      i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1165
      i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1166
      i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1167
      i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1168
      i_bus_we_o    : out std_ulogic; -- write enable
1169
      i_bus_re_o    : out std_ulogic; -- read enable
1170
      i_bus_lock_o  : out std_ulogic; -- exclusive access request
1171
      i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1172
      i_bus_err_i   : in  std_ulogic; -- bus transfer error
1173
      i_bus_fence_o : out std_ulogic; -- executed FENCEI operation
1174 73 zero_gravi
      i_bus_priv_o  : out std_ulogic; -- privilege level
1175 12 zero_gravi
      -- data bus interface --
1176 71 zero_gravi
      d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1177
      d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1178
      d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1179
      d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1180
      d_bus_we_o    : out std_ulogic; -- write enable
1181
      d_bus_re_o    : out std_ulogic; -- read enable
1182
      d_bus_lock_o  : out std_ulogic; -- exclusive access request
1183
      d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1184
      d_bus_err_i   : in  std_ulogic; -- bus transfer error
1185
      d_bus_fence_o : out std_ulogic; -- executed FENCE operation
1186 73 zero_gravi
      d_bus_priv_o  : out std_ulogic; -- privilege level
1187 11 zero_gravi
      -- system time input from MTIME --
1188 71 zero_gravi
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1189 14 zero_gravi
      -- interrupts (risc-v compliant) --
1190 71 zero_gravi
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1191
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1192
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1193 14 zero_gravi
      -- fast interrupts (custom) --
1194 71 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1195 59 zero_gravi
      -- debug mode (halt) request --
1196 71 zero_gravi
      db_halt_req_i : in  std_ulogic
1197 4 zero_gravi
    );
1198
  end component;
1199
 
1200 2 zero_gravi
  -- Component: CPU Control -----------------------------------------------------------------
1201
  -- -------------------------------------------------------------------------------------------
1202
  component neorv32_cpu_control
1203
    generic (
1204
      -- General --
1205 70 zero_gravi
      HW_THREAD_ID                 : natural; -- hardware thread id (32-bit)
1206 62 zero_gravi
      CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0); -- cpu boot address
1207
      CPU_DEBUG_ADDR               : std_ulogic_vector(31 downto 0); -- cpu debug mode start address
1208 2 zero_gravi
      -- RISC-V CPU Extensions --
1209 62 zero_gravi
      CPU_EXTENSION_RISCV_A        : boolean; -- implement atomic extension?
1210 66 zero_gravi
      CPU_EXTENSION_RISCV_B        : boolean; -- implement bit-manipulation extension?
1211 62 zero_gravi
      CPU_EXTENSION_RISCV_C        : boolean; -- implement compressed extension?
1212
      CPU_EXTENSION_RISCV_E        : boolean; -- implement embedded RF extension?
1213
      CPU_EXTENSION_RISCV_M        : boolean; -- implement mul/div extension?
1214
      CPU_EXTENSION_RISCV_U        : boolean; -- implement user mode extension?
1215
      CPU_EXTENSION_RISCV_Zfinx    : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1216
      CPU_EXTENSION_RISCV_Zicsr    : boolean; -- implement CSR system?
1217 66 zero_gravi
      CPU_EXTENSION_RISCV_Zicntr   : boolean; -- implement base counters?
1218
      CPU_EXTENSION_RISCV_Zihpm    : boolean; -- implement hardware performance monitors?
1219 62 zero_gravi
      CPU_EXTENSION_RISCV_Zifencei : boolean; -- implement instruction stream sync.?
1220
      CPU_EXTENSION_RISCV_Zmmul    : boolean; -- implement multiply-only M sub-extension?
1221 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu    : boolean; -- implement custom (instr.) functions unit?
1222 62 zero_gravi
      CPU_EXTENSION_RISCV_DEBUG    : boolean; -- implement CPU debug mode?
1223 56 zero_gravi
      -- Extension Options --
1224 72 zero_gravi
      FAST_MUL_EN                  : boolean; -- use DSPs for M extension's multiplier
1225
      FAST_SHIFT_EN                : boolean; -- use barrel shifter for shift operations
1226 62 zero_gravi
      CPU_CNT_WIDTH                : natural; -- total width of CPU cycle and instret counters (0..64)
1227
      CPU_IPB_ENTRIES              : natural; -- entries is instruction prefetch buffer, has to be a power of 2
1228 15 zero_gravi
      -- Physical memory protection (PMP) --
1229 73 zero_gravi
      PMP_NUM_REGIONS              : natural; -- number of regions (0..16)
1230
      PMP_MIN_GRANULARITY          : natural; -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
1231 42 zero_gravi
      -- Hardware Performance Monitors (HPM) --
1232 62 zero_gravi
      HPM_NUM_CNTS                 : natural; -- number of implemented HPM counters (0..29)
1233
      HPM_CNT_WIDTH                : natural  -- total size of HPM counters (0..64)
1234 2 zero_gravi
    );
1235
    port (
1236
      -- global control --
1237
      clk_i         : in  std_ulogic; -- global clock, rising edge
1238
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1239
      ctrl_o        : out std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1240
      -- status input --
1241 61 zero_gravi
      alu_idone_i   : in  std_ulogic; -- ALU iterative operation done
1242 12 zero_gravi
      bus_i_wait_i  : in  std_ulogic; -- wait for bus
1243
      bus_d_wait_i  : in  std_ulogic; -- wait for bus
1244 57 zero_gravi
      excl_state_i  : in  std_ulogic; -- atomic/exclusive access lock status
1245 2 zero_gravi
      -- data input --
1246
      instr_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1247
      cmp_i         : in  std_ulogic_vector(1 downto 0); -- comparator status
1248 36 zero_gravi
      alu_add_i     : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU address result
1249 52 zero_gravi
      rs1_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1250 2 zero_gravi
      -- data output --
1251
      imm_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1252 6 zero_gravi
      fetch_pc_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1253
      curr_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- current PC (corresponding to current instruction)
1254 68 zero_gravi
      next_pc_o     : out std_ulogic_vector(data_width_c-1 downto 0); -- next PC (corresponding to next instruction)
1255 2 zero_gravi
      csr_rdata_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1256 52 zero_gravi
      -- FPU interface --
1257
      fpu_flags_i   : in  std_ulogic_vector(04 downto 0); -- exception flags
1258 59 zero_gravi
      -- debug mode (halt) request --
1259
      db_halt_req_i : in  std_ulogic;
1260 14 zero_gravi
      -- interrupts (risc-v compliant) --
1261
      msw_irq_i     : in  std_ulogic; -- machine software interrupt
1262
      mext_irq_i    : in  std_ulogic; -- machine external interrupt
1263 2 zero_gravi
      mtime_irq_i   : in  std_ulogic; -- machine timer interrupt
1264 14 zero_gravi
      -- fast interrupts (custom) --
1265 48 zero_gravi
      firq_i        : in  std_ulogic_vector(15 downto 0);
1266 11 zero_gravi
      -- system time input from MTIME --
1267
      time_i        : in  std_ulogic_vector(63 downto 0); -- current system time
1268 15 zero_gravi
      -- physical memory protection --
1269
      pmp_addr_o    : out pmp_addr_if_t; -- addresses
1270
      pmp_ctrl_o    : out pmp_ctrl_if_t; -- configs
1271 2 zero_gravi
      -- bus access exceptions --
1272
      mar_i         : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory address register
1273
      ma_instr_i    : in  std_ulogic; -- misaligned instruction address
1274
      ma_load_i     : in  std_ulogic; -- misaligned load data address
1275
      ma_store_i    : in  std_ulogic; -- misaligned store data address
1276
      be_instr_i    : in  std_ulogic; -- bus error on instruction access
1277
      be_load_i     : in  std_ulogic; -- bus error on load data access
1278 12 zero_gravi
      be_store_i    : in  std_ulogic  -- bus error on store data access
1279 2 zero_gravi
    );
1280
  end component;
1281
 
1282
  -- Component: CPU Register File -----------------------------------------------------------
1283
  -- -------------------------------------------------------------------------------------------
1284
  component neorv32_cpu_regfile
1285
    generic (
1286 62 zero_gravi
      CPU_EXTENSION_RISCV_E : boolean -- implement embedded RF extension?
1287 2 zero_gravi
    );
1288
    port (
1289
      -- global control --
1290
      clk_i  : in  std_ulogic; -- global clock, rising edge
1291
      ctrl_i : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1292
      -- data input --
1293 73 zero_gravi
      alu_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1294 2 zero_gravi
      mem_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- memory read data
1295 73 zero_gravi
      csr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- CSR read data
1296
      pc2_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- next PC
1297 2 zero_gravi
      -- data output --
1298
      rs1_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- operand 1
1299 65 zero_gravi
      rs2_o  : out std_ulogic_vector(data_width_c-1 downto 0)  -- operand 2
1300 2 zero_gravi
    );
1301
  end component;
1302
 
1303
  -- Component: CPU ALU ---------------------------------------------------------------------
1304
  -- -------------------------------------------------------------------------------------------
1305
  component neorv32_cpu_alu
1306 11 zero_gravi
    generic (
1307 61 zero_gravi
      -- RISC-V CPU Extensions --
1308 66 zero_gravi
      CPU_EXTENSION_RISCV_B     : boolean; -- implement bit-manipulation extension?
1309 62 zero_gravi
      CPU_EXTENSION_RISCV_M     : boolean; -- implement mul/div extension?
1310
      CPU_EXTENSION_RISCV_Zmmul : boolean; -- implement multiply-only M sub-extension?
1311
      CPU_EXTENSION_RISCV_Zfinx : boolean; -- implement 32-bit floating-point extension (using INT reg!)
1312 72 zero_gravi
      CPU_EXTENSION_RISCV_Zxcfu : boolean; -- implement custom (instr.) functions unit?
1313 61 zero_gravi
      -- Extension Options --
1314 62 zero_gravi
      FAST_MUL_EN               : boolean; -- use DSPs for M extension's multiplier
1315
      FAST_SHIFT_EN             : boolean  -- use barrel shifter for shift operations
1316 11 zero_gravi
    );
1317 2 zero_gravi
    port (
1318
      -- global control --
1319
      clk_i       : in  std_ulogic; -- global clock, rising edge
1320
      rstn_i      : in  std_ulogic; -- global reset, low-active, async
1321
      ctrl_i      : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1322
      -- data input --
1323
      rs1_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1324
      rs2_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1325 68 zero_gravi
      pc_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- current PC
1326 2 zero_gravi
      imm_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- immediate
1327
      -- data output --
1328 65 zero_gravi
      cmp_o       : out std_ulogic_vector(1 downto 0); -- comparator status
1329 2 zero_gravi
      res_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- ALU result
1330 36 zero_gravi
      add_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- address computation result
1331 61 zero_gravi
      fpu_flags_o : out std_ulogic_vector(4 downto 0); -- FPU exception flags
1332 2 zero_gravi
      -- status --
1333 61 zero_gravi
      idone_o     : out std_ulogic -- iterative processing units done?
1334 2 zero_gravi
    );
1335
  end component;
1336
 
1337 61 zero_gravi
  -- Component: CPU Co-Processor SHIFTER ----------------------------------------------------
1338
  -- -------------------------------------------------------------------------------------------
1339
  component neorv32_cpu_cp_shifter
1340
    generic (
1341 62 zero_gravi
      FAST_SHIFT_EN : boolean -- use barrel shifter for shift operations
1342 61 zero_gravi
    );
1343
    port (
1344
      -- global control --
1345
      clk_i   : in  std_ulogic; -- global clock, rising edge
1346
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1347
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1348
      start_i : in  std_ulogic; -- trigger operation
1349
      -- data input --
1350
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1351 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1352 61 zero_gravi
      -- result and status --
1353
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1354
      valid_o : out std_ulogic -- data output valid
1355
    );
1356
  end component;
1357
 
1358 44 zero_gravi
  -- Component: CPU Co-Processor MULDIV ('M' extension) -------------------------------------
1359 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1360
  component neorv32_cpu_cp_muldiv
1361 19 zero_gravi
    generic (
1362 62 zero_gravi
      FAST_MUL_EN : boolean; -- use DSPs for faster multiplication
1363
      DIVISION_EN : boolean  -- implement divider hardware
1364 19 zero_gravi
    );
1365 2 zero_gravi
    port (
1366
      -- global control --
1367
      clk_i   : in  std_ulogic; -- global clock, rising edge
1368
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1369
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1370 36 zero_gravi
      start_i : in  std_ulogic; -- trigger operation
1371 2 zero_gravi
      -- data input --
1372
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1373
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1374
      -- result and status --
1375
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1376
      valid_o : out std_ulogic -- data output valid
1377
    );
1378
  end component;
1379
 
1380 63 zero_gravi
  -- Component: CPU Co-Processor Bit-Manipulation Unit ('B' extension) ----------------------
1381
  -- -------------------------------------------------------------------------------------------
1382
  component neorv32_cpu_cp_bitmanip is
1383
    generic (
1384 66 zero_gravi
      FAST_SHIFT_EN : boolean  -- use barrel shifter for shift operations
1385 63 zero_gravi
    );
1386
    port (
1387
      -- global control --
1388
      clk_i   : in  std_ulogic; -- global clock, rising edge
1389
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1390
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1391
      start_i : in  std_ulogic; -- trigger operation
1392
      -- data input --
1393
      cmp_i   : in  std_ulogic_vector(1 downto 0); -- comparator status
1394
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1395
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1396 66 zero_gravi
      shamt_i : in  std_ulogic_vector(index_size_f(data_width_c)-1 downto 0); -- shift amount
1397 63 zero_gravi
      -- result and status --
1398
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1399
      valid_o : out std_ulogic -- data output valid
1400
    );
1401
  end component;
1402
 
1403 53 zero_gravi
  -- Component: CPU Co-Processor 32-bit FPU ('Zfinx' extension) -----------------------------
1404 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1405
  component neorv32_cpu_cp_fpu
1406
    port (
1407
      -- global control --
1408 53 zero_gravi
      clk_i    : in  std_ulogic; -- global clock, rising edge
1409
      rstn_i   : in  std_ulogic; -- global reset, low-active, async
1410
      ctrl_i   : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1411
      start_i  : in  std_ulogic; -- trigger operation
1412 52 zero_gravi
      -- data input --
1413 56 zero_gravi
      cmp_i    : in  std_ulogic_vector(1 downto 0); -- comparator status
1414 53 zero_gravi
      rs1_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1415
      rs2_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1416 52 zero_gravi
      -- result and status --
1417 53 zero_gravi
      res_o    : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1418
      fflags_o : out std_ulogic_vector(4 downto 0); -- exception flags
1419
      valid_o  : out std_ulogic -- data output valid
1420 52 zero_gravi
    );
1421
  end component;
1422
 
1423 72 zero_gravi
  -- Component: CPU Co-Processor Custom (Instr.) Functions Unit ('Zxcfu' extension) ---------
1424
  -- -------------------------------------------------------------------------------------------
1425
  component neorv32_cpu_cp_cfu
1426
    port (
1427
      -- global control --
1428
      clk_i   : in  std_ulogic; -- global clock, rising edge
1429
      rstn_i  : in  std_ulogic; -- global reset, low-active, async
1430
      ctrl_i  : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1431
      start_i : in  std_ulogic; -- trigger operation
1432
      -- data input --
1433
      rs1_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 1
1434
      rs2_i   : in  std_ulogic_vector(data_width_c-1 downto 0); -- rf source 2
1435
      -- result and status --
1436
      res_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- operation result
1437
      valid_o : out std_ulogic -- data output valid
1438
    );
1439
  end component;
1440
 
1441 2 zero_gravi
  -- Component: CPU Bus Interface -----------------------------------------------------------
1442
  -- -------------------------------------------------------------------------------------------
1443
  component neorv32_cpu_bus
1444
    generic (
1445 62 zero_gravi
      CPU_EXTENSION_RISCV_A : boolean; -- implement atomic extension?
1446
      CPU_EXTENSION_RISCV_C : boolean; -- implement compressed extension?
1447 15 zero_gravi
      -- Physical memory protection (PMP) --
1448 73 zero_gravi
      PMP_NUM_REGIONS       : natural; -- number of regions (0..16)
1449
      PMP_MIN_GRANULARITY   : natural  -- minimal region granularity in bytes, has to be a power of 2, min 4 bytes
1450 2 zero_gravi
    );
1451
    port (
1452
      -- global control --
1453 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1454
      rstn_i        : in  std_ulogic := '0'; -- global reset, low-active, async
1455
      ctrl_i        : in  std_ulogic_vector(ctrl_width_c-1 downto 0); -- main control bus
1456 12 zero_gravi
      -- cpu instruction fetch interface --
1457 70 zero_gravi
      fetch_pc_i    : in  std_ulogic_vector(data_width_c-1 downto 0); -- PC for instruction fetch
1458
      instr_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- instruction
1459
      i_wait_o      : out std_ulogic; -- wait for fetch to complete
1460 12 zero_gravi
      --
1461 70 zero_gravi
      ma_instr_o    : out std_ulogic; -- misaligned instruction address
1462
      be_instr_o    : out std_ulogic; -- bus error on instruction access
1463 12 zero_gravi
      -- cpu data access interface --
1464 70 zero_gravi
      addr_i        : in  std_ulogic_vector(data_width_c-1 downto 0); -- ALU result -> access address
1465
      wdata_i       : in  std_ulogic_vector(data_width_c-1 downto 0); -- write data
1466
      rdata_o       : out std_ulogic_vector(data_width_c-1 downto 0); -- read data
1467
      mar_o         : out std_ulogic_vector(data_width_c-1 downto 0); -- current memory address register
1468
      d_wait_o      : out std_ulogic; -- wait for access to complete
1469 12 zero_gravi
      --
1470 70 zero_gravi
      excl_state_o  : out std_ulogic; -- atomic/exclusive access status
1471
      ma_load_o     : out std_ulogic; -- misaligned load data address
1472
      ma_store_o    : out std_ulogic; -- misaligned store data address
1473
      be_load_o     : out std_ulogic; -- bus error on load data access
1474
      be_store_o    : out std_ulogic; -- bus error on store data access
1475 15 zero_gravi
      -- physical memory protection --
1476 70 zero_gravi
      pmp_addr_i    : in  pmp_addr_if_t; -- addresses
1477
      pmp_ctrl_i    : in  pmp_ctrl_if_t; -- configs
1478 12 zero_gravi
      -- instruction bus --
1479 70 zero_gravi
      i_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1480
      i_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1481
      i_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1482
      i_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1483
      i_bus_we_o    : out std_ulogic; -- write enable
1484
      i_bus_re_o    : out std_ulogic; -- read enable
1485
      i_bus_lock_o  : out std_ulogic; -- exclusive access request
1486
      i_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1487
      i_bus_err_i   : in  std_ulogic; -- bus transfer error
1488
      i_bus_fence_o : out std_ulogic; -- fence operation
1489 12 zero_gravi
      -- data bus --
1490 70 zero_gravi
      d_bus_addr_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1491
      d_bus_rdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1492
      d_bus_wdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1493
      d_bus_ben_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1494
      d_bus_we_o    : out std_ulogic; -- write enable
1495
      d_bus_re_o    : out std_ulogic; -- read enable
1496
      d_bus_lock_o  : out std_ulogic; -- exclusive access request
1497
      d_bus_ack_i   : in  std_ulogic; -- bus transfer acknowledge
1498
      d_bus_err_i   : in  std_ulogic; -- bus transfer error
1499
      d_bus_fence_o : out std_ulogic  -- fence operation
1500 2 zero_gravi
    );
1501
  end component;
1502
 
1503 57 zero_gravi
  -- Component: Bus Keeper ------------------------------------------------------------------
1504
  -- -------------------------------------------------------------------------------------------
1505
  component neorv32_bus_keeper is
1506
    port (
1507
      -- host access --
1508 66 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1509
      rstn_i     : in  std_ulogic; -- global reset, low-active, async
1510
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1511
      rden_i     : in  std_ulogic; -- read enable
1512
      wren_i     : in  std_ulogic; -- write enable
1513 70 zero_gravi
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1514 66 zero_gravi
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1515
      ack_o      : out std_ulogic; -- transfer acknowledge
1516
      err_o      : out std_ulogic; -- transfer error
1517
      -- bus monitoring --
1518
      bus_addr_i : in  std_ulogic_vector(31 downto 0); -- address
1519
      bus_rden_i : in  std_ulogic; -- read enable
1520
      bus_wren_i : in  std_ulogic; -- write enable
1521
      bus_ack_i  : in  std_ulogic; -- transfer acknowledge from bus system
1522 68 zero_gravi
      bus_err_i  : in  std_ulogic; -- transfer error from bus system
1523
      bus_tmo_i  : in  std_ulogic; -- transfer timeout (external interface)
1524 70 zero_gravi
      bus_ext_i  : in  std_ulogic; -- external bus access
1525
      bus_xip_i  : in  std_ulogic  -- pending XIP access
1526 57 zero_gravi
    );
1527
  end component;
1528
 
1529 45 zero_gravi
  -- Component: CPU Instruction Cache -------------------------------------------------------
1530 41 zero_gravi
  -- -------------------------------------------------------------------------------------------
1531 45 zero_gravi
  component neorv32_icache
1532 41 zero_gravi
    generic (
1533 62 zero_gravi
      ICACHE_NUM_BLOCKS : natural; -- number of blocks (min 1), has to be a power of 2
1534
      ICACHE_BLOCK_SIZE : natural; -- block size in bytes (min 4), has to be a power of 2
1535
      ICACHE_NUM_SETS   : natural  -- associativity / number of sets (1=direct_mapped), has to be a power of 2
1536 41 zero_gravi
    );
1537
    port (
1538
      -- global control --
1539 70 zero_gravi
      clk_i        : in  std_ulogic; -- global clock, rising edge
1540
      rstn_i       : in  std_ulogic; -- global reset, low-active, async
1541
      clear_i      : in  std_ulogic; -- cache clear
1542 73 zero_gravi
      miss_o       : out std_ulogic; -- cache miss
1543 41 zero_gravi
      -- host controller interface --
1544 70 zero_gravi
      host_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1545
      host_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1546
      host_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1547
      host_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1548
      host_we_i    : in  std_ulogic; -- write enable
1549
      host_re_i    : in  std_ulogic; -- read enable
1550
      host_ack_o   : out std_ulogic; -- bus transfer acknowledge
1551
      host_err_o   : out std_ulogic; -- bus transfer error
1552 41 zero_gravi
      -- peripheral bus interface --
1553 70 zero_gravi
      bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1554
      bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1555
      bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1556
      bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1557
      bus_we_o     : out std_ulogic; -- write enable
1558
      bus_re_o     : out std_ulogic; -- read enable
1559
      bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1560
      bus_err_i    : in  std_ulogic  -- bus transfer error
1561 41 zero_gravi
    );
1562
  end component;
1563
 
1564 12 zero_gravi
  -- Component: CPU Bus Switch --------------------------------------------------------------
1565
  -- -------------------------------------------------------------------------------------------
1566
  component neorv32_busswitch
1567
    generic (
1568 62 zero_gravi
      PORT_CA_READ_ONLY : boolean; -- set if controller port A is read-only
1569
      PORT_CB_READ_ONLY : boolean  -- set if controller port B is read-only
1570 12 zero_gravi
    );
1571
    port (
1572
      -- global control --
1573 70 zero_gravi
      clk_i         : in  std_ulogic; -- global clock, rising edge
1574
      rstn_i        : in  std_ulogic; -- global reset, low-active, async
1575 12 zero_gravi
      -- controller interface a --
1576 70 zero_gravi
      ca_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1577
      ca_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1578
      ca_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1579
      ca_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1580
      ca_bus_we_i    : in  std_ulogic; -- write enable
1581
      ca_bus_re_i    : in  std_ulogic; -- read enable
1582
      ca_bus_lock_i  : in  std_ulogic; -- exclusive access request
1583
      ca_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1584
      ca_bus_err_o   : out std_ulogic; -- bus transfer error
1585 12 zero_gravi
      -- controller interface b --
1586 70 zero_gravi
      cb_bus_addr_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1587
      cb_bus_rdata_o : out std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1588
      cb_bus_wdata_i : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1589
      cb_bus_ben_i   : in  std_ulogic_vector(03 downto 0); -- byte enable
1590
      cb_bus_we_i    : in  std_ulogic; -- write enable
1591
      cb_bus_re_i    : in  std_ulogic; -- read enable
1592
      cb_bus_lock_i  : in  std_ulogic; -- exclusive access request
1593
      cb_bus_ack_o   : out std_ulogic; -- bus transfer acknowledge
1594
      cb_bus_err_o   : out std_ulogic; -- bus transfer error
1595 12 zero_gravi
      -- peripheral bus --
1596 70 zero_gravi
      p_bus_src_o    : out std_ulogic; -- access source: 0 = A, 1 = B
1597
      p_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
1598
      p_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0); -- bus read data
1599
      p_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
1600
      p_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
1601
      p_bus_we_o     : out std_ulogic; -- write enable
1602
      p_bus_re_o     : out std_ulogic; -- read enable
1603
      p_bus_lock_o   : out std_ulogic; -- exclusive access request
1604
      p_bus_ack_i    : in  std_ulogic; -- bus transfer acknowledge
1605
      p_bus_err_i    : in  std_ulogic  -- bus transfer error
1606 12 zero_gravi
    );
1607
  end component;
1608
 
1609 70 zero_gravi
  -- Component: CPU Compressed Instructions De-Compressor -----------------------------------
1610 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
1611
  component neorv32_cpu_decompressor
1612 73 zero_gravi
    generic (
1613
      FPU_ENABLE : boolean -- floating-point instruction enabled
1614
    );
1615 2 zero_gravi
    port (
1616
      -- instruction input --
1617
      ci_instr16_i : in  std_ulogic_vector(15 downto 0); -- compressed instruction input
1618
      -- instruction output --
1619
      ci_illegal_o : out std_ulogic; -- is an illegal compressed instruction
1620
      ci_instr32_o : out std_ulogic_vector(31 downto 0)  -- 32-bit decompressed instruction
1621
    );
1622
  end component;
1623
 
1624
  -- Component: Processor-internal instruction memory (IMEM) --------------------------------
1625
  -- -------------------------------------------------------------------------------------------
1626
  component neorv32_imem
1627
    generic (
1628 62 zero_gravi
      IMEM_BASE    : std_ulogic_vector(31 downto 0); -- memory base address
1629
      IMEM_SIZE    : natural; -- processor-internal instruction memory size in bytes
1630
      IMEM_AS_IROM : boolean  -- implement IMEM as pre-initialized read-only memory?
1631 2 zero_gravi
    );
1632
    port (
1633
      clk_i  : in  std_ulogic; -- global clock line
1634
      rden_i : in  std_ulogic; -- read enable
1635
      wren_i : in  std_ulogic; -- write enable
1636
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1637
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1638
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1639
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1640 72 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
1641
      err_o  : out std_ulogic  -- transfer error
1642 2 zero_gravi
    );
1643
  end component;
1644
 
1645
  -- Component: Processor-internal data memory (DMEM) ---------------------------------------
1646
  -- -------------------------------------------------------------------------------------------
1647
  component neorv32_dmem
1648
    generic (
1649 62 zero_gravi
      DMEM_BASE : std_ulogic_vector(31 downto 0); -- memory base address
1650
      DMEM_SIZE : natural -- processor-internal instruction memory size in bytes
1651 2 zero_gravi
    );
1652
    port (
1653
      clk_i  : in  std_ulogic; -- global clock line
1654
      rden_i : in  std_ulogic; -- read enable
1655
      wren_i : in  std_ulogic; -- write enable
1656
      ben_i  : in  std_ulogic_vector(03 downto 0); -- byte write enable
1657
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1658
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1659
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1660
      ack_o  : out std_ulogic -- transfer acknowledge
1661
    );
1662
  end component;
1663
 
1664
  -- Component: Processor-internal bootloader ROM (BOOTROM) ---------------------------------
1665
  -- -------------------------------------------------------------------------------------------
1666
  component neorv32_boot_rom
1667 23 zero_gravi
    generic (
1668 62 zero_gravi
      BOOTROM_BASE : std_ulogic_vector(31 downto 0) -- boot ROM base address
1669 23 zero_gravi
    );
1670 2 zero_gravi
    port (
1671
      clk_i  : in  std_ulogic; -- global clock line
1672
      rden_i : in  std_ulogic; -- read enable
1673 72 zero_gravi
      wren_i : in  std_ulogic; -- write enable
1674 2 zero_gravi
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1675
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1676 72 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
1677
      err_o  : out std_ulogic  -- transfer error
1678 2 zero_gravi
    );
1679
  end component;
1680
 
1681
  -- Component: Machine System Timer (mtime) ------------------------------------------------
1682
  -- -------------------------------------------------------------------------------------------
1683
  component neorv32_mtime
1684
    port (
1685
      -- host access --
1686 60 zero_gravi
      clk_i  : in  std_ulogic; -- global clock line
1687
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1688
      rden_i : in  std_ulogic; -- read enable
1689
      wren_i : in  std_ulogic; -- write enable
1690
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1691
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1692
      ack_o  : out std_ulogic; -- transfer acknowledge
1693 11 zero_gravi
      -- time output for CPU --
1694 60 zero_gravi
      time_o : out std_ulogic_vector(63 downto 0); -- current system time
1695 2 zero_gravi
      -- interrupt --
1696 60 zero_gravi
      irq_o  : out std_ulogic  -- interrupt request
1697 2 zero_gravi
    );
1698
  end component;
1699
 
1700
  -- Component: General Purpose Input/Output Port (GPIO) ------------------------------------
1701
  -- -------------------------------------------------------------------------------------------
1702
  component neorv32_gpio
1703
    port (
1704
      -- host access --
1705
      clk_i  : in  std_ulogic; -- global clock line
1706
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1707
      rden_i : in  std_ulogic; -- read enable
1708
      wren_i : in  std_ulogic; -- write enable
1709
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1710
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1711
      ack_o  : out std_ulogic; -- transfer acknowledge
1712 70 zero_gravi
      err_o  : out std_ulogic; -- transfer error
1713 2 zero_gravi
      -- parallel io --
1714 61 zero_gravi
      gpio_o : out std_ulogic_vector(63 downto 0);
1715
      gpio_i : in  std_ulogic_vector(63 downto 0)
1716 2 zero_gravi
    );
1717
  end component;
1718
 
1719
  -- Component: Watchdog Timer (WDT) --------------------------------------------------------
1720
  -- -------------------------------------------------------------------------------------------
1721
  component neorv32_wdt
1722 69 zero_gravi
    generic (
1723
      DEBUG_EN : boolean -- CPU debug mode implemented?
1724
    );
1725 2 zero_gravi
    port (
1726
      -- host access --
1727
      clk_i       : in  std_ulogic; -- global clock line
1728
      rstn_i      : in  std_ulogic; -- global reset line, low-active
1729
      rden_i      : in  std_ulogic; -- read enable
1730
      wren_i      : in  std_ulogic; -- write enable
1731
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1732
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1733
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1734
      ack_o       : out std_ulogic; -- transfer acknowledge
1735 69 zero_gravi
      -- CPU in debug mode? --
1736
      cpu_debug_i : in  std_ulogic;
1737 2 zero_gravi
      -- clock generator --
1738
      clkgen_en_o : out std_ulogic; -- enable clock generator
1739
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1740
      -- timeout event --
1741
      irq_o       : out std_ulogic; -- timeout IRQ
1742
      rstn_o      : out std_ulogic  -- timeout reset, low_active, use it as async!
1743
    );
1744
  end component;
1745
 
1746
  -- Component: Universal Asynchronous Receiver and Transmitter (UART) ----------------------
1747
  -- -------------------------------------------------------------------------------------------
1748
  component neorv32_uart
1749 50 zero_gravi
    generic (
1750 65 zero_gravi
      UART_PRIMARY : boolean; -- true = primary UART (UART0), false = secondary UART (UART1)
1751
      UART_RX_FIFO : natural; -- RX fifo depth, has to be a power of two, min 1
1752
      UART_TX_FIFO : natural  -- TX fifo depth, has to be a power of two, min 1
1753 50 zero_gravi
    );
1754 2 zero_gravi
    port (
1755
      -- host access --
1756
      clk_i       : in  std_ulogic; -- global clock line
1757
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1758
      rden_i      : in  std_ulogic; -- read enable
1759
      wren_i      : in  std_ulogic; -- write enable
1760
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1761
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1762
      ack_o       : out std_ulogic; -- transfer acknowledge
1763
      -- clock generator --
1764
      clkgen_en_o : out std_ulogic; -- enable clock generator
1765
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1766
      -- com lines --
1767
      uart_txd_o  : out std_ulogic;
1768
      uart_rxd_i  : in  std_ulogic;
1769 51 zero_gravi
      -- hardware flow control --
1770
      uart_rts_o  : out std_ulogic; -- UART.RX ready to receive ("RTR"), low-active, optional
1771
      uart_cts_i  : in  std_ulogic; -- UART.TX allowed to transmit, low-active, optional
1772 2 zero_gravi
      -- interrupts --
1773 48 zero_gravi
      irq_rxd_o   : out std_ulogic; -- uart data received interrupt
1774
      irq_txd_o   : out std_ulogic  -- uart transmission done interrupt
1775 2 zero_gravi
    );
1776
  end component;
1777
 
1778
  -- Component: Serial Peripheral Interface (SPI) -------------------------------------------
1779
  -- -------------------------------------------------------------------------------------------
1780
  component neorv32_spi
1781
    port (
1782
      -- host access --
1783
      clk_i       : in  std_ulogic; -- global clock line
1784
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1785
      rden_i      : in  std_ulogic; -- read enable
1786
      wren_i      : in  std_ulogic; -- write enable
1787
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1788
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1789
      ack_o       : out std_ulogic; -- transfer acknowledge
1790
      -- clock generator --
1791
      clkgen_en_o : out std_ulogic; -- enable clock generator
1792
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1793
      -- com lines --
1794 6 zero_gravi
      spi_sck_o   : out std_ulogic; -- SPI serial clock
1795
      spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
1796
      spi_sdi_i   : in  std_ulogic; -- controller data in, peripheral data out
1797 2 zero_gravi
      spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
1798
      -- interrupt --
1799 48 zero_gravi
      irq_o       : out std_ulogic -- transmission done interrupt
1800 2 zero_gravi
    );
1801
  end component;
1802
 
1803
  -- Component: Two-Wire Interface (TWI) ----------------------------------------------------
1804
  -- -------------------------------------------------------------------------------------------
1805
  component neorv32_twi
1806
    port (
1807
      -- host access --
1808
      clk_i       : in  std_ulogic; -- global clock line
1809
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1810
      rden_i      : in  std_ulogic; -- read enable
1811
      wren_i      : in  std_ulogic; -- write enable
1812
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1813
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1814
      ack_o       : out std_ulogic; -- transfer acknowledge
1815
      -- clock generator --
1816
      clkgen_en_o : out std_ulogic; -- enable clock generator
1817
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1818
      -- com lines --
1819
      twi_sda_io  : inout std_logic; -- serial data line
1820
      twi_scl_io  : inout std_logic; -- serial clock line
1821
      -- interrupt --
1822 48 zero_gravi
      irq_o       : out std_ulogic -- transfer done IRQ
1823 2 zero_gravi
    );
1824
  end component;
1825
 
1826
  -- Component: Pulse-Width Modulation Controller (PWM) -------------------------------------
1827
  -- -------------------------------------------------------------------------------------------
1828
  component neorv32_pwm
1829 60 zero_gravi
    generic (
1830 62 zero_gravi
      NUM_CHANNELS : natural -- number of PWM channels (0..60)
1831 60 zero_gravi
    );
1832 2 zero_gravi
    port (
1833
      -- host access --
1834
      clk_i       : in  std_ulogic; -- global clock line
1835
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1836
      rden_i      : in  std_ulogic; -- read enable
1837
      wren_i      : in  std_ulogic; -- write enable
1838
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1839
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1840
      ack_o       : out std_ulogic; -- transfer acknowledge
1841
      -- clock generator --
1842
      clkgen_en_o : out std_ulogic; -- enable clock generator
1843
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1844
      -- pwm output channels --
1845 70 zero_gravi
      pwm_o       : out std_ulogic_vector(59 downto 0)
1846 2 zero_gravi
    );
1847
  end component;
1848
 
1849
  -- Component: True Random Number Generator (TRNG) -----------------------------------------
1850
  -- -------------------------------------------------------------------------------------------
1851
  component neorv32_trng
1852
    port (
1853
      -- host access --
1854
      clk_i  : in  std_ulogic; -- global clock line
1855
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
1856
      rden_i : in  std_ulogic; -- read enable
1857
      wren_i : in  std_ulogic; -- write enable
1858
      data_i : in  std_ulogic_vector(31 downto 0); -- data in
1859
      data_o : out std_ulogic_vector(31 downto 0); -- data out
1860
      ack_o  : out std_ulogic  -- transfer acknowledge
1861
    );
1862
  end component;
1863
 
1864
  -- Component: Wishbone Bus Gateway (WISHBONE) ---------------------------------------------
1865
  -- -------------------------------------------------------------------------------------------
1866
  component neorv32_wishbone
1867
    generic (
1868 23 zero_gravi
      -- Internal instruction memory --
1869 62 zero_gravi
      MEM_INT_IMEM_EN   : boolean; -- implement processor-internal instruction memory
1870
      MEM_INT_IMEM_SIZE : natural; -- size of processor-internal instruction memory in bytes
1871 23 zero_gravi
      -- Internal data memory --
1872 62 zero_gravi
      MEM_INT_DMEM_EN   : boolean; -- implement processor-internal data memory
1873
      MEM_INT_DMEM_SIZE : natural; -- size of processor-internal data memory in bytes
1874
      -- Interface Configuration --
1875
      BUS_TIMEOUT       : natural; -- cycles after an UNACKNOWLEDGED bus access triggers a bus fault exception
1876
      PIPE_MODE         : boolean; -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
1877
      BIG_ENDIAN        : boolean; -- byte order: true=big-endian, false=little-endian
1878
      ASYNC_RX          : boolean  -- use register buffer for RX data when false
1879 2 zero_gravi
    );
1880
    port (
1881
      -- global control --
1882 70 zero_gravi
      clk_i      : in  std_ulogic; -- global clock line
1883
      rstn_i     : in  std_ulogic; -- global reset line, low-active
1884 2 zero_gravi
      -- host access --
1885 70 zero_gravi
      src_i      : in  std_ulogic; -- access type (0: data, 1:instruction)
1886
      addr_i     : in  std_ulogic_vector(31 downto 0); -- address
1887
      rden_i     : in  std_ulogic; -- read enable
1888
      wren_i     : in  std_ulogic; -- write enable
1889
      ben_i      : in  std_ulogic_vector(03 downto 0); -- byte write enable
1890
      data_i     : in  std_ulogic_vector(31 downto 0); -- data in
1891
      data_o     : out std_ulogic_vector(31 downto 0); -- data out
1892
      lock_i     : in  std_ulogic; -- exclusive access request
1893
      ack_o      : out std_ulogic; -- transfer acknowledge
1894
      err_o      : out std_ulogic; -- transfer error
1895
      tmo_o      : out std_ulogic; -- transfer timeout
1896 73 zero_gravi
      priv_i     : in  std_ulogic; -- current CPU privilege level
1897 70 zero_gravi
      ext_o      : out std_ulogic; -- active external access
1898
      -- xip configuration --
1899
      xip_en_i   : in  std_ulogic; -- XIP module enabled
1900
      xip_page_i : in  std_ulogic_vector(03 downto 0); -- XIP memory page
1901 2 zero_gravi
      -- wishbone interface --
1902 70 zero_gravi
      wb_tag_o   : out std_ulogic_vector(02 downto 0); -- request tag
1903
      wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
1904
      wb_dat_i   : in  std_ulogic_vector(31 downto 0); -- read data
1905
      wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
1906
      wb_we_o    : out std_ulogic; -- read/write
1907
      wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
1908
      wb_stb_o   : out std_ulogic; -- strobe
1909
      wb_cyc_o   : out std_ulogic; -- valid cycle
1910
      wb_lock_o  : out std_ulogic; -- exclusive access request
1911
      wb_ack_i   : in  std_ulogic; -- transfer acknowledge
1912
      wb_err_i   : in  std_ulogic  -- transfer error
1913 2 zero_gravi
    );
1914
  end component;
1915
 
1916 47 zero_gravi
  -- Component: Custom Functions Subsystem (CFS) --------------------------------------------
1917 23 zero_gravi
  -- -------------------------------------------------------------------------------------------
1918 47 zero_gravi
  component neorv32_cfs
1919
    generic (
1920 52 zero_gravi
      CFS_CONFIG   : std_ulogic_vector(31 downto 0); -- custom CFS configuration generic
1921 62 zero_gravi
      CFS_IN_SIZE  : positive; -- size of CFS input conduit in bits
1922
      CFS_OUT_SIZE : positive  -- size of CFS output conduit in bits
1923 23 zero_gravi
    );
1924 34 zero_gravi
    port (
1925
      -- host access --
1926
      clk_i       : in  std_ulogic; -- global clock line
1927
      rstn_i      : in  std_ulogic; -- global reset line, low-active, use as async
1928
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1929
      rden_i      : in  std_ulogic; -- read enable
1930 47 zero_gravi
      wren_i      : in  std_ulogic; -- word write enable
1931 34 zero_gravi
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1932
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1933
      ack_o       : out std_ulogic; -- transfer acknowledge
1934 68 zero_gravi
      err_o       : out std_ulogic; -- transfer error
1935 34 zero_gravi
      -- clock generator --
1936
      clkgen_en_o : out std_ulogic; -- enable clock generator
1937 47 zero_gravi
      clkgen_i    : in  std_ulogic_vector(07 downto 0); -- "clock" inputs
1938
      -- interrupt --
1939
      irq_o       : out std_ulogic; -- interrupt request
1940
      -- custom io (conduit) --
1941 62 zero_gravi
      cfs_in_i    : in  std_ulogic_vector(CFS_IN_SIZE-1 downto 0); -- custom inputs
1942
      cfs_out_o   : out std_ulogic_vector(CFS_OUT_SIZE-1 downto 0) -- custom outputs
1943 34 zero_gravi
    );
1944
  end component;
1945
 
1946 61 zero_gravi
  -- Component: Smart LED (WS2811/WS2812) Interface (NEOLED) --------------------------------
1947 49 zero_gravi
  -- -------------------------------------------------------------------------------------------
1948 61 zero_gravi
  component neorv32_neoled
1949 62 zero_gravi
    generic (
1950
      FIFO_DEPTH : natural -- TX FIFO depth (1..32k, power of two)
1951
    );
1952 49 zero_gravi
    port (
1953
      -- host access --
1954
      clk_i       : in  std_ulogic; -- global clock line
1955
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
1956
      rden_i      : in  std_ulogic; -- read enable
1957
      wren_i      : in  std_ulogic; -- write enable
1958
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
1959
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
1960
      ack_o       : out std_ulogic; -- transfer acknowledge
1961
      -- clock generator --
1962
      clkgen_en_o : out std_ulogic; -- enable clock generator
1963
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
1964 61 zero_gravi
      -- interrupt --
1965
      irq_o       : out std_ulogic; -- interrupt request
1966
      -- NEOLED output --
1967
      neoled_o    : out std_ulogic -- serial async data line
1968 49 zero_gravi
    );
1969
  end component;
1970
 
1971 61 zero_gravi
  -- Component: Stream Link Interface (SLINK) -----------------------------------------------
1972 52 zero_gravi
  -- -------------------------------------------------------------------------------------------
1973 61 zero_gravi
  component neorv32_slink
1974
    generic (
1975 62 zero_gravi
      SLINK_NUM_TX  : natural; -- number of TX links (0..8)
1976
      SLINK_NUM_RX  : natural; -- number of TX links (0..8)
1977
      SLINK_TX_FIFO : natural; -- TX fifo depth, has to be a power of two
1978
      SLINK_RX_FIFO : natural  -- RX fifo depth, has to be a power of two
1979 61 zero_gravi
    );
1980 52 zero_gravi
    port (
1981
      -- host access --
1982 61 zero_gravi
      clk_i          : in  std_ulogic; -- global clock line
1983
      addr_i         : in  std_ulogic_vector(31 downto 0); -- address
1984
      rden_i         : in  std_ulogic; -- read enable
1985
      wren_i         : in  std_ulogic; -- write enable
1986
      data_i         : in  std_ulogic_vector(31 downto 0); -- data in
1987
      data_o         : out std_ulogic_vector(31 downto 0); -- data out
1988
      ack_o          : out std_ulogic; -- transfer acknowledge
1989 52 zero_gravi
      -- interrupt --
1990 61 zero_gravi
      irq_tx_o       : out std_ulogic; -- transmission done
1991
      irq_rx_o       : out std_ulogic; -- data received
1992
      -- TX stream interfaces --
1993
      slink_tx_dat_o : out sdata_8x32_t; -- output data
1994
      slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
1995
      slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
1996
      -- RX stream interfaces --
1997
      slink_rx_dat_i : in  sdata_8x32_t; -- input data
1998
      slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
1999
      slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
2000 52 zero_gravi
    );
2001
  end component;
2002
 
2003 61 zero_gravi
  -- Component: External Interrupt Controller (XIRQ) ----------------------------------------
2004
  -- -------------------------------------------------------------------------------------------
2005
  component neorv32_xirq
2006
    generic (
2007 62 zero_gravi
      XIRQ_NUM_CH           : natural; -- number of external IRQ channels (0..32)
2008
      XIRQ_TRIGGER_TYPE     : std_ulogic_vector(31 downto 0); -- trigger type: 0=level, 1=edge
2009
      XIRQ_TRIGGER_POLARITY : std_ulogic_vector(31 downto 0)  -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
2010 61 zero_gravi
    );
2011
    port (
2012
      -- host access --
2013
      clk_i     : in  std_ulogic; -- global clock line
2014
      addr_i    : in  std_ulogic_vector(31 downto 0); -- address
2015
      rden_i    : in  std_ulogic; -- read enable
2016
      wren_i    : in  std_ulogic; -- write enable
2017
      data_i    : in  std_ulogic_vector(31 downto 0); -- data in
2018
      data_o    : out std_ulogic_vector(31 downto 0); -- data out
2019
      ack_o     : out std_ulogic; -- transfer acknowledge
2020
      -- external interrupt lines --
2021 70 zero_gravi
      xirq_i    : in  std_ulogic_vector(31 downto 0);
2022 61 zero_gravi
      -- CPU interrupt --
2023
      cpu_irq_o : out std_ulogic
2024
    );
2025
  end component;
2026
 
2027 67 zero_gravi
  -- Component: General Purpose Timer (GPTMR) -----------------------------------------------
2028
  -- -------------------------------------------------------------------------------------------
2029
  component neorv32_gptmr
2030
    port (
2031
      -- host access --
2032
      clk_i       : in  std_ulogic; -- global clock line
2033
      addr_i      : in  std_ulogic_vector(31 downto 0); -- address
2034
      rden_i      : in  std_ulogic; -- read enable
2035
      wren_i      : in  std_ulogic; -- write enable
2036
      data_i      : in  std_ulogic_vector(31 downto 0); -- data in
2037
      data_o      : out std_ulogic_vector(31 downto 0); -- data out
2038
      ack_o       : out std_ulogic; -- transfer acknowledge
2039
      -- clock generator --
2040
      clkgen_en_o : out std_ulogic; -- enable clock generator
2041
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
2042
      -- interrupt --
2043
      irq_o       : out std_ulogic -- transmission done interrupt
2044
    );
2045
  end component;
2046
 
2047 70 zero_gravi
  -- Component: Execute In Place Module (XIP) -----------------------------------------------
2048
  -- -------------------------------------------------------------------------------------------
2049
  component neorv32_xip
2050
    port (
2051
      -- globals --
2052
      clk_i       : in  std_ulogic; -- global clock line
2053
      rstn_i      : in  std_ulogic; -- global reset line, low-active
2054
      -- host access: control register access port --
2055
      ct_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
2056
      ct_rden_i   : in  std_ulogic; -- read enable
2057
      ct_wren_i   : in  std_ulogic; -- write enable
2058
      ct_data_i   : in  std_ulogic_vector(31 downto 0); -- data in
2059
      ct_data_o   : out std_ulogic_vector(31 downto 0); -- data out
2060
      ct_ack_o    : out std_ulogic; -- transfer acknowledge
2061
      -- host access: instruction fetch access port (read-only) --
2062
      if_addr_i   : in  std_ulogic_vector(31 downto 0); -- address
2063
      if_rden_i   : in  std_ulogic; -- read enable
2064
      if_data_o   : out std_ulogic_vector(31 downto 0); -- data out
2065
      if_ack_o    : out std_ulogic; -- transfer acknowledge
2066
      -- status --
2067
      xip_en_o    : out std_ulogic; -- XIP enable
2068
      xip_acc_o   : out std_ulogic; -- pending XIP access
2069
      xip_page_o  : out std_ulogic_vector(03 downto 0); -- XIP page
2070
      -- clock generator --
2071
      clkgen_en_o : out std_ulogic; -- enable clock generator
2072
      clkgen_i    : in  std_ulogic_vector(07 downto 0);
2073
      -- SPI device interface --
2074
      spi_csn_o   : out std_ulogic; -- chip-select, low-active
2075
      spi_clk_o   : out std_ulogic; -- serial clock
2076
      spi_data_i  : in  std_ulogic; -- device data output
2077
      spi_data_o  : out std_ulogic  -- controller data output
2078
    );
2079
  end component;
2080
 
2081 23 zero_gravi
  -- Component: System Configuration Information Memory (SYSINFO) ---------------------------
2082
  -- -------------------------------------------------------------------------------------------
2083 12 zero_gravi
  component neorv32_sysinfo
2084
    generic (
2085
      -- General --
2086 72 zero_gravi
      CLOCK_FREQUENCY      : natural; -- clock frequency of clk_i in Hz
2087
      INT_BOOTLOADER_EN    : boolean; -- boot configuration: true = boot explicit bootloader; false = boot from int/ext (I)MEM
2088 63 zero_gravi
      -- Physical memory protection (PMP) --
2089 73 zero_gravi
      PMP_NUM_REGIONS      : natural; -- number of regions (0..16)
2090 23 zero_gravi
      -- Internal Instruction memory --
2091 72 zero_gravi
      MEM_INT_IMEM_EN      : boolean; -- implement processor-internal instruction memory
2092
      MEM_INT_IMEM_SIZE    : natural; -- size of processor-internal instruction memory in bytes
2093 23 zero_gravi
      -- Internal Data memory --
2094 72 zero_gravi
      MEM_INT_DMEM_EN      : boolean; -- implement processor-internal data memory
2095
      MEM_INT_DMEM_SIZE    : natural; -- size of processor-internal data memory in bytes
2096 41 zero_gravi
      -- Internal Cache memory --
2097 72 zero_gravi
      ICACHE_EN            : boolean; -- implement instruction cache
2098
      ICACHE_NUM_BLOCKS    : natural; -- i-cache: number of blocks (min 2), has to be a power of 2
2099
      ICACHE_BLOCK_SIZE    : natural; -- i-cache: block size in bytes (min 4), has to be a power of 2
2100
      ICACHE_ASSOCIATIVITY : natural; -- i-cache: associativity (min 1), has to be a power 2
2101 23 zero_gravi
      -- External memory interface --
2102 72 zero_gravi
      MEM_EXT_EN           : boolean; -- implement external memory bus interface?
2103
      MEM_EXT_BIG_ENDIAN   : boolean; -- byte order: true=big-endian, false=little-endian
2104 59 zero_gravi
      -- On-Chip Debugger --
2105 72 zero_gravi
      ON_CHIP_DEBUGGER_EN  : boolean; -- implement OCD?
2106 12 zero_gravi
      -- Processor peripherals --
2107 72 zero_gravi
      IO_GPIO_EN           : boolean; -- implement general purpose input/output port unit (GPIO)?
2108
      IO_MTIME_EN          : boolean; -- implement machine system timer (MTIME)?
2109
      IO_UART0_EN          : boolean; -- implement primary universal asynchronous receiver/transmitter (UART0)?
2110
      IO_UART1_EN          : boolean; -- implement secondary universal asynchronous receiver/transmitter (UART1)?
2111
      IO_SPI_EN            : boolean; -- implement serial peripheral interface (SPI)?
2112
      IO_TWI_EN            : boolean; -- implement two-wire interface (TWI)?
2113
      IO_PWM_NUM_CH        : natural; -- number of PWM channels to implement
2114
      IO_WDT_EN            : boolean; -- implement watch dog timer (WDT)?
2115
      IO_TRNG_EN           : boolean; -- implement true random number generator (TRNG)?
2116
      IO_CFS_EN            : boolean; -- implement custom functions subsystem (CFS)?
2117
      IO_SLINK_EN          : boolean; -- implement stream link interface?
2118
      IO_NEOLED_EN         : boolean; -- implement NeoPixel-compatible smart LED interface (NEOLED)?
2119
      IO_XIRQ_NUM_CH       : natural; -- number of external interrupt (XIRQ) channels to implement
2120
      IO_GPTMR_EN          : boolean; -- implement general purpose timer (GPTMR)?
2121
      IO_XIP_EN            : boolean  -- implement execute in place module (XIP)?
2122 12 zero_gravi
    );
2123
    port (
2124
      -- host access --
2125
      clk_i  : in  std_ulogic; -- global clock line
2126
      addr_i : in  std_ulogic_vector(31 downto 0); -- address
2127
      rden_i : in  std_ulogic; -- read enable
2128 70 zero_gravi
      wren_i : in  std_ulogic; -- write enable
2129 12 zero_gravi
      data_o : out std_ulogic_vector(31 downto 0); -- data out
2130 70 zero_gravi
      ack_o  : out std_ulogic; -- transfer acknowledge
2131
      err_o  : out std_ulogic  -- transfer error
2132 12 zero_gravi
    );
2133
  end component;
2134
 
2135 62 zero_gravi
  -- Component: General Purpose FIFO --------------------------------------------------------
2136 61 zero_gravi
  -- -------------------------------------------------------------------------------------------
2137
  component neorv32_fifo
2138
    generic (
2139 62 zero_gravi
      FIFO_DEPTH : natural; -- number of fifo entries; has to be a power of two; min 1
2140
      FIFO_WIDTH : natural; -- size of data elements in fifo
2141
      FIFO_RSYNC : boolean; -- false = async read; true = sync read
2142
      FIFO_SAFE  : boolean  -- true = allow read/write only if entry available
2143 61 zero_gravi
    );
2144
    port (
2145
      -- control --
2146
      clk_i   : in  std_ulogic; -- clock, rising edge
2147
      rstn_i  : in  std_ulogic; -- async reset, low-active
2148
      clear_i : in  std_ulogic; -- sync reset, high-active
2149 62 zero_gravi
      level_o : out std_ulogic_vector(index_size_f(FIFO_DEPTH) downto 0); -- fill level
2150 65 zero_gravi
      half_o  : out std_ulogic; -- FIFO is at least half full
2151 61 zero_gravi
      -- write port --
2152
      wdata_i : in  std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- write data
2153
      we_i    : in  std_ulogic; -- write enable
2154
      free_o  : out std_ulogic; -- at least one entry is free when set
2155
      -- read port --
2156
      re_i    : in  std_ulogic; -- read enable
2157
      rdata_o : out std_ulogic_vector(FIFO_WIDTH-1 downto 0); -- read data
2158
      avail_o : out std_ulogic  -- data available when set
2159
    );
2160
  end component;
2161
 
2162 59 zero_gravi
  -- Component: On-Chip Debugger - Debug Module (DM) ----------------------------------------
2163
  -- -------------------------------------------------------------------------------------------
2164
  component neorv32_debug_dm
2165
    port (
2166
      -- global control --
2167
      clk_i            : in  std_ulogic; -- global clock line
2168
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2169
      -- debug module interface (DMI) --
2170
      dmi_rstn_i       : in  std_ulogic;
2171
      dmi_req_valid_i  : in  std_ulogic;
2172
      dmi_req_ready_o  : out std_ulogic; -- DMI is allowed to make new requests when set
2173
      dmi_req_addr_i   : in  std_ulogic_vector(06 downto 0);
2174
      dmi_req_op_i     : in  std_ulogic; -- 0=read, 1=write
2175
      dmi_req_data_i   : in  std_ulogic_vector(31 downto 0);
2176
      dmi_resp_valid_o : out std_ulogic; -- response valid when set
2177
      dmi_resp_ready_i : in  std_ulogic; -- ready to receive respond
2178
      dmi_resp_data_o  : out std_ulogic_vector(31 downto 0);
2179
      dmi_resp_err_o   : out std_ulogic; -- 0=ok, 1=error
2180
      -- CPU bus access --
2181 71 zero_gravi
      cpu_debug_i      : in  std_ulogic; -- CPU is in debug mode
2182 59 zero_gravi
      cpu_addr_i       : in  std_ulogic_vector(31 downto 0); -- address
2183
      cpu_rden_i       : in  std_ulogic; -- read enable
2184
      cpu_wren_i       : in  std_ulogic; -- write enable
2185
      cpu_data_i       : in  std_ulogic_vector(31 downto 0); -- data in
2186
      cpu_data_o       : out std_ulogic_vector(31 downto 0); -- data out
2187
      cpu_ack_o        : out std_ulogic; -- transfer acknowledge
2188
      -- CPU control --
2189
      cpu_ndmrstn_o    : out std_ulogic; -- soc reset
2190
      cpu_halt_req_o   : out std_ulogic  -- request hart to halt (enter debug mode)
2191
    );
2192
  end component;
2193
 
2194
  -- Component: On-Chip Debugger - Debug Transport Module (DTM) -----------------------------
2195
  -- -------------------------------------------------------------------------------------------
2196
  component neorv32_debug_dtm
2197
    generic (
2198 62 zero_gravi
      IDCODE_VERSION : std_ulogic_vector(03 downto 0); -- version
2199
      IDCODE_PARTID  : std_ulogic_vector(15 downto 0); -- part number
2200
      IDCODE_MANID   : std_ulogic_vector(10 downto 0)  -- manufacturer id
2201 59 zero_gravi
    );
2202
    port (
2203
      -- global control --
2204
      clk_i            : in  std_ulogic; -- global clock line
2205
      rstn_i           : in  std_ulogic; -- global reset line, low-active
2206
      -- jtag connection --
2207
      jtag_trst_i      : in  std_ulogic;
2208
      jtag_tck_i       : in  std_ulogic;
2209
      jtag_tdi_i       : in  std_ulogic;
2210
      jtag_tdo_o       : out std_ulogic;
2211
      jtag_tms_i       : in  std_ulogic;
2212
      -- debug module interface (DMI) --
2213
      dmi_rstn_o       : out std_ulogic;
2214
      dmi_req_valid_o  : out std_ulogic;
2215
      dmi_req_ready_i  : in  std_ulogic; -- DMI is allowed to make new requests when set
2216
      dmi_req_addr_o   : out std_ulogic_vector(06 downto 0);
2217
      dmi_req_op_o     : out std_ulogic; -- 0=read, 1=write
2218
      dmi_req_data_o   : out std_ulogic_vector(31 downto 0);
2219
      dmi_resp_valid_i : in  std_ulogic; -- response valid when set
2220
      dmi_resp_ready_o : out std_ulogic; -- ready to receive respond
2221
      dmi_resp_data_i  : in  std_ulogic_vector(31 downto 0);
2222
      dmi_resp_err_i   : in  std_ulogic -- 0=ok, 1=error
2223
    );
2224
  end component;
2225
 
2226 2 zero_gravi
end neorv32_package;
2227
 
2228
package body neorv32_package is
2229
 
2230 69 zero_gravi
  -- Function: Minimal required number of bits to represent <input> numbers -----------------
2231 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2232
  function index_size_f(input : natural) return natural is
2233
  begin
2234
    for i in 0 to natural'high loop
2235
      if (2**i >= input) then
2236
        return i;
2237
      end if;
2238
    end loop; -- i
2239
    return 0;
2240
  end function index_size_f;
2241
 
2242
  -- Function: Conditional select natural ---------------------------------------------------
2243
  -- -------------------------------------------------------------------------------------------
2244
  function cond_sel_natural_f(cond : boolean; val_t : natural; val_f : natural) return natural is
2245
  begin
2246
    if (cond = true) then
2247
      return val_t;
2248
    else
2249
      return val_f;
2250
    end if;
2251
  end function cond_sel_natural_f;
2252
 
2253 56 zero_gravi
  -- Function: Conditional select integer ---------------------------------------------------
2254
  -- -------------------------------------------------------------------------------------------
2255
  function cond_sel_int_f(cond : boolean; val_t : integer; val_f : integer) return integer is
2256
  begin
2257
    if (cond = true) then
2258
      return val_t;
2259
    else
2260
      return val_f;
2261
    end if;
2262
  end function cond_sel_int_f;
2263
 
2264 2 zero_gravi
  -- Function: Conditional select std_ulogic_vector -----------------------------------------
2265
  -- -------------------------------------------------------------------------------------------
2266
  function cond_sel_stdulogicvector_f(cond : boolean; val_t : std_ulogic_vector; val_f : std_ulogic_vector) return std_ulogic_vector is
2267
  begin
2268
    if (cond = true) then
2269
      return val_t;
2270
    else
2271
      return val_f;
2272
    end if;
2273
  end function cond_sel_stdulogicvector_f;
2274
 
2275 56 zero_gravi
  -- Function: Conditional select std_ulogic ------------------------------------------------
2276
  -- -------------------------------------------------------------------------------------------
2277
  function cond_sel_stdulogic_f(cond : boolean; val_t : std_ulogic; val_f : std_ulogic) return std_ulogic is
2278
  begin
2279
    if (cond = true) then
2280
      return val_t;
2281
    else
2282
      return val_f;
2283
    end if;
2284
  end function cond_sel_stdulogic_f;
2285
 
2286 50 zero_gravi
  -- Function: Conditional select string ----------------------------------------------------
2287 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2288 50 zero_gravi
  function cond_sel_string_f(cond : boolean; val_t : string; val_f : string) return string is
2289
  begin
2290
    if (cond = true) then
2291
      return val_t;
2292
    else
2293
      return val_f;
2294
    end if;
2295
  end function cond_sel_string_f;
2296
 
2297
  -- Function: Convert bool to std_ulogic ---------------------------------------------------
2298
  -- -------------------------------------------------------------------------------------------
2299 2 zero_gravi
  function bool_to_ulogic_f(cond : boolean) return std_ulogic is
2300
  begin
2301
    if (cond = true) then
2302
      return '1';
2303
    else
2304
      return '0';
2305
    end if;
2306
  end function bool_to_ulogic_f;
2307
 
2308 71 zero_gravi
  -- Function: Convert binary to gray -------------------------------------------------------
2309
  -- -------------------------------------------------------------------------------------------
2310
  function bin_to_gray_f(input : std_ulogic_vector) return std_ulogic_vector is
2311
    variable tmp_v : std_ulogic_vector(input'range);
2312
  begin
2313
    tmp_v(input'length-1) := input(input'length-1); -- keep MSB
2314
    for i in input'length-2 downto 0 loop
2315
      tmp_v(i) := input(i) xor input(i+1);
2316
    end loop; -- i
2317
    return tmp_v;
2318
  end function bin_to_gray_f;
2319
 
2320
  -- Function: Convert gray to binary -------------------------------------------------------
2321
  -- -------------------------------------------------------------------------------------------
2322
  function gray_to_bin_f(input : std_ulogic_vector) return std_ulogic_vector is
2323
    variable tmp_v : std_ulogic_vector(input'range);
2324
  begin
2325
    tmp_v(input'length-1) := input(input'length-1); -- keep MSB
2326
    for i in input'length-2 downto 0 loop
2327
      tmp_v(i) := tmp_v(i+1) xor input(i);
2328
    end loop; -- i
2329
    return tmp_v;
2330
  end function gray_to_bin_f;
2331
 
2332 60 zero_gravi
  -- Function: OR-reduce all bits -----------------------------------------------------------
2333 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2334 60 zero_gravi
  function or_reduce_f(a : std_ulogic_vector) return std_ulogic is
2335 2 zero_gravi
    variable tmp_v : std_ulogic;
2336
  begin
2337 56 zero_gravi
    tmp_v := '0';
2338 65 zero_gravi
    for i in a'range loop
2339
      tmp_v := tmp_v or a(i);
2340
    end loop; -- i
2341 2 zero_gravi
    return tmp_v;
2342 60 zero_gravi
  end function or_reduce_f;
2343 2 zero_gravi
 
2344 60 zero_gravi
  -- Function: AND-reduce all bits ----------------------------------------------------------
2345 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2346 60 zero_gravi
  function and_reduce_f(a : std_ulogic_vector) return std_ulogic is
2347 2 zero_gravi
    variable tmp_v : std_ulogic;
2348
  begin
2349 56 zero_gravi
    tmp_v := '1';
2350 65 zero_gravi
    for i in a'range loop
2351
      tmp_v := tmp_v and a(i);
2352
    end loop; -- i
2353 2 zero_gravi
    return tmp_v;
2354 60 zero_gravi
  end function and_reduce_f;
2355 2 zero_gravi
 
2356 60 zero_gravi
  -- Function: XOR-reduce all bits ----------------------------------------------------------
2357 2 zero_gravi
  -- -------------------------------------------------------------------------------------------
2358 60 zero_gravi
  function xor_reduce_f(a : std_ulogic_vector) return std_ulogic is
2359 2 zero_gravi
    variable tmp_v : std_ulogic;
2360
  begin
2361 56 zero_gravi
    tmp_v := '0';
2362 65 zero_gravi
    for i in a'range loop
2363
      tmp_v := tmp_v xor a(i);
2364
    end loop; -- i
2365 2 zero_gravi
    return tmp_v;
2366 60 zero_gravi
  end function xor_reduce_f;
2367 2 zero_gravi
 
2368 40 zero_gravi
  -- Function: Convert std_ulogic_vector to hex char ----------------------------------------
2369 6 zero_gravi
  -- -------------------------------------------------------------------------------------------
2370
  function to_hexchar_f(input : std_ulogic_vector(3 downto 0)) return character is
2371
    variable output_v : character;
2372
  begin
2373
    case input is
2374 7 zero_gravi
      when x"0"   => output_v := '0';
2375
      when x"1"   => output_v := '1';
2376
      when x"2"   => output_v := '2';
2377
      when x"3"   => output_v := '3';
2378
      when x"4"   => output_v := '4';
2379
      when x"5"   => output_v := '5';
2380
      when x"6"   => output_v := '6';
2381
      when x"7"   => output_v := '7';
2382
      when x"8"   => output_v := '8';
2383
      when x"9"   => output_v := '9';
2384
      when x"a"   => output_v := 'a';
2385
      when x"b"   => output_v := 'b';
2386
      when x"c"   => output_v := 'c';
2387
      when x"d"   => output_v := 'd';
2388
      when x"e"   => output_v := 'e';
2389
      when x"f"   => output_v := 'f';
2390 6 zero_gravi
      when others => output_v := '?';
2391
    end case;
2392
    return output_v;
2393
  end function to_hexchar_f;
2394
 
2395 40 zero_gravi
  -- Function: Convert hex char to std_ulogic_vector ----------------------------------------
2396
  -- -------------------------------------------------------------------------------------------
2397
  function hexchar_to_stdulogicvector_f(input : character) return std_ulogic_vector is
2398
    variable hex_value_v : std_ulogic_vector(3 downto 0);
2399
  begin
2400
    case input is
2401
      when '0'       => hex_value_v := x"0";
2402
      when '1'       => hex_value_v := x"1";
2403
      when '2'       => hex_value_v := x"2";
2404
      when '3'       => hex_value_v := x"3";
2405
      when '4'       => hex_value_v := x"4";
2406
      when '5'       => hex_value_v := x"5";
2407
      when '6'       => hex_value_v := x"6";
2408
      when '7'       => hex_value_v := x"7";
2409
      when '8'       => hex_value_v := x"8";
2410
      when '9'       => hex_value_v := x"9";
2411
      when 'a' | 'A' => hex_value_v := x"a";
2412
      when 'b' | 'B' => hex_value_v := x"b";
2413
      when 'c' | 'C' => hex_value_v := x"c";
2414
      when 'd' | 'D' => hex_value_v := x"d";
2415
      when 'e' | 'E' => hex_value_v := x"e";
2416
      when 'f' | 'F' => hex_value_v := x"f";
2417
      when others    => hex_value_v := (others => 'X');
2418
    end case;
2419
    return hex_value_v;
2420
  end function hexchar_to_stdulogicvector_f;
2421
 
2422 32 zero_gravi
  -- Function: Bit reversal -----------------------------------------------------------------
2423
  -- -------------------------------------------------------------------------------------------
2424
  function bit_rev_f(input : std_ulogic_vector) return std_ulogic_vector is
2425
    variable output_v : std_ulogic_vector(input'range);
2426
  begin
2427
    for i in 0 to input'length-1 loop
2428
      output_v(input'length-i-1) := input(i);
2429
    end loop; -- i
2430
    return output_v;
2431
  end function bit_rev_f;
2432
 
2433 36 zero_gravi
  -- Function: Test if input number is a power of two ---------------------------------------
2434
  -- -------------------------------------------------------------------------------------------
2435
  function is_power_of_two_f(input : natural) return boolean is
2436
  begin
2437 38 zero_gravi
    if (input = 1) then -- 2^0
2438 36 zero_gravi
      return true;
2439 38 zero_gravi
    elsif ((input / 2) /= 0) and ((input mod 2) = 0) then
2440
      return true;
2441 36 zero_gravi
    else
2442
      return false;
2443
    end if;
2444
  end function is_power_of_two_f;
2445
 
2446 40 zero_gravi
  -- Function: Swap all bytes of a 32-bit word (endianness conversion) ----------------------
2447
  -- -------------------------------------------------------------------------------------------
2448
  function bswap32_f(input : std_ulogic_vector) return std_ulogic_vector is
2449
    variable output_v : std_ulogic_vector(input'range);
2450
  begin
2451
    output_v(07 downto 00) := input(31 downto 24);
2452
    output_v(15 downto 08) := input(23 downto 16);
2453
    output_v(23 downto 16) := input(15 downto 08);
2454
    output_v(31 downto 24) := input(07 downto 00);
2455
    return output_v;
2456
  end function bswap32_f;
2457
 
2458 61 zero_gravi
  -- Function: Convert char to lowercase ----------------------------------------------------
2459
  -- -------------------------------------------------------------------------------------------
2460 62 zero_gravi
  function char_to_lower_f(ch : character) return character is
2461 61 zero_gravi
    variable res: character;
2462
   begin
2463
     case ch is
2464
       when 'A'    => res := 'a';
2465
       when 'B'    => res := 'b';
2466
       when 'C'    => res := 'c';
2467
       when 'D'    => res := 'd';
2468
       when 'E'    => res := 'e';
2469
       when 'F'    => res := 'f';
2470
       when 'G'    => res := 'g';
2471
       when 'H'    => res := 'h';
2472
       when 'I'    => res := 'i';
2473
       when 'J'    => res := 'j';
2474
       when 'K'    => res := 'k';
2475
       when 'L'    => res := 'l';
2476
       when 'M'    => res := 'm';
2477
       when 'N'    => res := 'n';
2478
       when 'O'    => res := 'o';
2479
       when 'P'    => res := 'p';
2480
       when 'Q'    => res := 'q';
2481
       when 'R'    => res := 'r';
2482
       when 'S'    => res := 's';
2483
       when 'T'    => res := 't';
2484
       when 'U'    => res := 'u';
2485
       when 'V'    => res := 'v';
2486
       when 'W'    => res := 'w';
2487
       when 'X'    => res := 'x';
2488
       when 'Y'    => res := 'y';
2489
       when 'Z'    => res := 'z';
2490
       when others => res := ch;
2491
      end case;
2492
    return res;
2493 62 zero_gravi
  end function char_to_lower_f;
2494 61 zero_gravi
 
2495
  -- Function: Compare strings (convert to lower case, check lengths) -----------------------
2496
  -- -------------------------------------------------------------------------------------------
2497
  function str_equal_f(str0 : string; str1 : string) return boolean is
2498
    variable tmp0_v : string(str0'range);
2499
    variable tmp1_v : string(str1'range);
2500
  begin
2501
    if (str0'length /= str1'length) then -- equal length?
2502
      return false;
2503
    else
2504
      -- convert to lower case --
2505
      for i in str0'range loop
2506 62 zero_gravi
        tmp0_v(i) := char_to_lower_f(str0(i));
2507 61 zero_gravi
      end loop;
2508
      for i in str1'range loop
2509 62 zero_gravi
        tmp1_v(i) := char_to_lower_f(str1(i));
2510 61 zero_gravi
      end loop;
2511
      -- compare lowercase strings --
2512
      if (tmp0_v = tmp1_v) then
2513
        return true;
2514
      else
2515
        return false;
2516
      end if;
2517
    end if;
2518
  end function str_equal_f;
2519
 
2520 63 zero_gravi
  -- Function: Population count (number of set bits) ----------------------------------------
2521
  -- -------------------------------------------------------------------------------------------
2522
  function popcount_f(input : std_ulogic_vector) return natural is
2523
    variable cnt_v : natural range 0 to input'length;
2524
  begin
2525
    cnt_v := 0;
2526
    for i in input'length-1 downto 0 loop
2527
      if (input(i) = '1') then
2528
        cnt_v := cnt_v + 1;
2529
      end if;
2530
    end loop; -- i
2531
    return cnt_v;
2532
  end function popcount_f;
2533
 
2534
  -- Function: Count leading zeros ----------------------------------------------------------
2535
  -- -------------------------------------------------------------------------------------------
2536
  function leading_zeros_f(input : std_ulogic_vector) return natural is
2537
    variable cnt_v : natural range 0 to input'length;
2538
  begin
2539
    cnt_v := 0;
2540
    for i in input'length-1 downto 0 loop
2541
      if (input(i) = '0') then
2542
        cnt_v := cnt_v + 1;
2543
      else
2544
        exit;
2545
      end if;
2546
    end loop; -- i
2547
    return cnt_v;
2548
  end function leading_zeros_f;
2549
 
2550 61 zero_gravi
  -- Function: Initialize mem32_t array from another mem32_t array --------------------------
2551
  -- -------------------------------------------------------------------------------------------
2552
  -- impure function: returns NOT the same result every time it is evaluated with the same arguments since the source file might have changed
2553
  impure function mem32_init_f(init : mem32_t; depth : natural) return mem32_t is
2554
    variable mem_v : mem32_t(0 to depth-1);
2555
  begin
2556 62 zero_gravi
    mem_v := (others => (others => '0')); -- make sure remaining memory entries are set to zero
2557
    if (init'length > depth) then
2558
      return mem_v;
2559
    end if;
2560
    for idx_v in 0 to init'length-1 loop -- init only in range of source data array
2561
      mem_v(idx_v) := init(idx_v);
2562
    end loop; -- idx_v
2563 61 zero_gravi
    return mem_v;
2564
  end function mem32_init_f;
2565
 
2566 62 zero_gravi
 
2567 70 zero_gravi
  -- Finally set deferred constant, see IEEE 1076-2008 14.4.2.1 (NEORV32 Issue #242) --------
2568
  -- -------------------------------------------------------------------------------------------
2569
  constant def_rst_val_c : std_ulogic := cond_sel_stdulogic_f(dedicated_reset_c, '0', '-');
2570
 
2571
 
2572 2 zero_gravi
end neorv32_package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.