OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_slink.vhd] - Blame information for rev 61

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 61 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - Stream Link Interface (SLINK) >>                                                 #
3
-- # ********************************************************************************************* #
4
-- # Up to 8 input (RX) and up to 8 output (TX) stream links are supported. Each stream direction  #
5
-- # provides a global interrupt to indicate that a RX link has received new data or that a TX     #
6
-- # has finished sending data. Each link is provides an internal FIFO for buffering.              #
7
-- # ********************************************************************************************* #
8
-- # BSD 3-Clause License                                                                          #
9
-- #                                                                                               #
10
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
11
-- #                                                                                               #
12
-- # Redistribution and use in source and binary forms, with or without modification, are          #
13
-- # permitted provided that the following conditions are met:                                     #
14
-- #                                                                                               #
15
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
16
-- #    conditions and the following disclaimer.                                                   #
17
-- #                                                                                               #
18
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
19
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
20
-- #    provided with the distribution.                                                            #
21
-- #                                                                                               #
22
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
23
-- #    endorse or promote products derived from this software without specific prior written      #
24
-- #    permission.                                                                                #
25
-- #                                                                                               #
26
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
27
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
28
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
29
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
30
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
31
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
32
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
33
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
34
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
35
-- # ********************************************************************************************* #
36
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
37
-- #################################################################################################
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.numeric_std.all;
42
 
43
library neorv32;
44
use neorv32.neorv32_package.all;
45
 
46
entity neorv32_slink is
47
  generic (
48
    SLINK_NUM_TX  : natural := 8; -- number of TX links (0..8)
49
    SLINK_NUM_RX  : natural := 8; -- number of TX links (0..8)
50
    SLINK_TX_FIFO : natural := 1; -- TX fifo depth, has to be a power of two
51
    SLINK_RX_FIFO : natural := 1  -- RX fifo depth, has to be a power of two
52
  );
53
  port (
54
    -- host access --
55
    clk_i          : in  std_ulogic; -- global clock line
56
    addr_i         : in  std_ulogic_vector(31 downto 0); -- address
57
    rden_i         : in  std_ulogic; -- read enable
58
    wren_i         : in  std_ulogic; -- write enable
59
    data_i         : in  std_ulogic_vector(31 downto 0); -- data in
60
    data_o         : out std_ulogic_vector(31 downto 0); -- data out
61
    ack_o          : out std_ulogic; -- transfer acknowledge
62
    -- interrupt --
63
    irq_tx_o       : out std_ulogic; -- transmission done
64
    irq_rx_o       : out std_ulogic; -- data received
65
    -- TX stream interfaces --
66
    slink_tx_dat_o : out sdata_8x32_t; -- output data
67
    slink_tx_val_o : out std_ulogic_vector(7 downto 0); -- valid output
68
    slink_tx_rdy_i : in  std_ulogic_vector(7 downto 0); -- ready to send
69
    -- RX stream interfaces --
70
    slink_rx_dat_i : in  sdata_8x32_t; -- input data
71
    slink_rx_val_i : in  std_ulogic_vector(7 downto 0); -- valid input
72
    slink_rx_rdy_o : out std_ulogic_vector(7 downto 0)  -- ready to receive
73
  );
74
end neorv32_slink;
75
 
76
architecture neorv32_slink_rtl of neorv32_slink is
77
 
78
  -- IO space: module base address --
79
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
80
  constant lo_abb_c : natural := index_size_f(slink_size_c); -- low address boundary bit
81
 
82
  -- control reg bits --
83
  constant ctrl_rx0_avail_c : natural :=  0; -- r/-: set if TX link 0 is ready to send
84
  constant ctrl_rx1_avail_c : natural :=  1; -- r/-: set if TX link 1 is ready to send
85
  constant ctrl_rx2_avail_c : natural :=  2; -- r/-: set if TX link 2 is ready to send
86
  constant ctrl_rx3_avail_c : natural :=  3; -- r/-: set if TX link 3 is ready to send
87
  constant ctrl_rx4_avail_c : natural :=  4; -- r/-: set if TX link 4 is ready to send
88
  constant ctrl_rx5_avail_c : natural :=  5; -- r/-: set if TX link 5 is ready to send
89
  constant ctrl_rx6_avail_c : natural :=  6; -- r/-: set if TX link 6 is ready to send
90
  constant ctrl_rx7_avail_c : natural :=  7; -- r/-: set if TX link 7 is ready to send
91
  --
92
  constant ctrl_tx0_free_c  : natural :=  8; -- r/-: set if RX link 0 data available
93
  constant ctrl_tx1_free_c  : natural :=  9; -- r/-: set if RX link 1 data available
94
  constant ctrl_tx2_free_c  : natural := 10; -- r/-: set if RX link 2 data available
95
  constant ctrl_tx3_free_c  : natural := 11; -- r/-: set if RX link 3 data available
96
  constant ctrl_tx4_free_c  : natural := 12; -- r/-: set if RX link 4 data available
97
  constant ctrl_tx5_free_c  : natural := 13; -- r/-: set if RX link 5 data available
98
  constant ctrl_tx6_free_c  : natural := 14; -- r/-: set if RX link 6 data available
99
  constant ctrl_tx7_free_c  : natural := 15; -- r/-: set if RX link 7 data available
100
  --
101
  constant ctrl_rx_num0_c   : natural := 16; -- r/-: number of implemented RX links -1 bit 0
102
  constant ctrl_rx_num1_c   : natural := 17; -- r/-: number of implemented RX links -1 bit 1
103
  constant ctrl_rx_num2_c   : natural := 18; -- r/-: number of implemented RX links -1 bit 2
104
  constant ctrl_tx_num0_c   : natural := 19; -- r/-: number of implemented TX links -1 bit 0
105
  constant ctrl_tx_num1_c   : natural := 20; -- r/-: number of implemented TX links -1 bit 1
106
  constant ctrl_tx_num2_c   : natural := 21; -- r/-: number of implemented TX links -1 bit 2
107
  --
108
  constant ctrl_rx_size0_c  : natural := 22; -- r/-: log2(RX FIFO size) bit 0
109
  constant ctrl_rx_size1_c  : natural := 23; -- r/-: log2(RX FIFO size) bit 1
110
  constant ctrl_rx_size2_c  : natural := 24; -- r/-: log2(RX FIFO size) bit 2
111
  constant ctrl_rx_size3_c  : natural := 25; -- r/-: log2(RX FIFO size) bit 3
112
  constant ctrl_tx_size0_c  : natural := 26; -- r/-: log2(TX FIFO size) bit 0
113
  constant ctrl_tx_size1_c  : natural := 27; -- r/-: log2(TX FIFO size) bit 1
114
  constant ctrl_tx_size2_c  : natural := 28; -- r/-: log2(TX FIFO size) bit 2
115
  constant ctrl_tx_size3_c  : natural := 29; -- r/-: log2(TX FIFO size) bit 3
116
  --
117
  constant ctrl_en_c        : natural := 31; -- r/w: global enable
118
 
119
  -- bus access control --
120
  signal ack_read  : std_ulogic;
121
  signal ack_write : std_ulogic;
122
  signal acc_en    : std_ulogic;
123
  signal addr      : std_ulogic_vector(31 downto 0);
124
 
125
  -- control register --
126
  signal enable : std_ulogic; -- global enable
127
 
128
  -- interrupt generator --
129
  signal tx_fifo_free_buf  : std_ulogic_vector(7 downto 0);
130
  signal rx_fifo_avail_buf : std_ulogic_vector(7 downto 0);
131
 
132
  -- stream link fifo interface --
133
  type fifo_data_t is array (0 to 7) of std_ulogic_vector(31 downto 0);
134
  signal rx_fifo_rdata             : fifo_data_t;
135
  signal fifo_clear                : std_ulogic;
136
  signal link_sel                  : std_ulogic_vector(7 downto 0);
137
  signal tx_fifo_we, tx_fifo_free  : std_ulogic_vector(7 downto 0);
138
  signal rx_fifo_re, rx_fifo_avail : std_ulogic_vector(7 downto 0);
139
 
140
begin
141
 
142
  -- Sanity Checks --------------------------------------------------------------------------
143
  -- -------------------------------------------------------------------------------------------
144
  assert not (is_power_of_two_f(SLINK_TX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_TX_FIFO> has to be a power of two." severity error;
145
  assert not (SLINK_TX_FIFO > 2**15) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_TX_FIFO> has to be 1..32768." severity error;
146
  --
147
  assert not (is_power_of_two_f(SLINK_RX_FIFO) = false) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_RX_FIFO> has to be a power of two." severity error;
148
  assert not (SLINK_RX_FIFO > 2**15) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_RX_FIFO> has to be 1..32768." severity error;
149
  --
150
  assert not (SLINK_NUM_RX > 8) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_NUM_RX> has to be 0..8." severity error;
151
  assert not (SLINK_NUM_TX > 8) report "NEORV32 PROCESSOR CONFIG ERROR: SLINK <SLINK_NUM_TX> has to be 0..8." severity error;
152
  --
153
  assert false report "NEORV32 PROCESSOR CONFIG NOTE: Implementing " & integer'image(SLINK_NUM_RX) & " RX and " &
154
  integer'image(SLINK_NUM_TX) & " TX stream links." severity note;
155
 
156
 
157
  -- Access Control -------------------------------------------------------------------------
158
  -- -------------------------------------------------------------------------------------------
159
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = slink_base_c(hi_abb_c downto lo_abb_c)) else '0';
160
  addr   <= slink_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
161
 
162
 
163
  -- Read/Write Access ----------------------------------------------------------------------
164
  -- -------------------------------------------------------------------------------------------
165
  rw_access: process(clk_i)
166
  begin
167
    if rising_edge(clk_i) then
168
      -- write access --
169
      ack_write <= '0';
170
      if (acc_en = '1') and (wren_i = '1') then
171
        if (addr(5) = '0') then -- control register
172
          enable    <= data_i(ctrl_en_c);
173
          ack_write <= '1';
174
        else -- TX links
175
          ack_write <= or_reduce_f(link_sel and tx_fifo_free);
176
        end if;
177
      end if;
178
 
179
      -- read access --
180
      data_o   <= (others => '0');
181
      ack_read <= '0';
182
      if (acc_en = '1') and (rden_i = '1') then
183
        if (addr(5) = '0') then -- control register
184
          data_o(ctrl_rx7_avail_c downto ctrl_rx0_avail_c) <= rx_fifo_avail;
185
          data_o(ctrl_tx7_free_c  downto ctrl_tx0_free_c)  <= tx_fifo_free;
186
          data_o(ctrl_rx_num2_c   downto ctrl_rx_num0_c)   <= std_ulogic_vector(to_unsigned(SLINK_NUM_RX-1, 3));
187
          data_o(ctrl_tx_num2_c   downto ctrl_tx_num0_c)   <= std_ulogic_vector(to_unsigned(SLINK_NUM_TX-1, 3));
188
          data_o(ctrl_rx_size3_c  downto ctrl_rx_size0_c)  <= std_ulogic_vector(to_unsigned(index_size_f(SLINK_RX_FIFO), 4));
189
          data_o(ctrl_tx_size3_c  downto ctrl_tx_size0_c)  <= std_ulogic_vector(to_unsigned(index_size_f(SLINK_TX_FIFO), 4));
190
          data_o(ctrl_en_c)                                <= enable;
191
          ack_read <= '1';
192
        else -- RX links
193
          data_o   <= rx_fifo_rdata(to_integer(unsigned(addr(4 downto 2))));
194
          ack_read <= or_reduce_f(link_sel and rx_fifo_avail);
195
        end if;
196
      end if;
197
    end if;
198
  end process rw_access;
199
 
200
  -- bus access acknowledge --
201
  ack_o <= ack_write or ack_read;
202
 
203
  -- link fifo reset (sync) --
204
  fifo_clear <= not enable;
205
 
206
 
207
  -- Interrupt Generator --------------------------------------------------------------------
208
  -- -------------------------------------------------------------------------------------------
209
  irq_generator: process(clk_i)
210
  begin
211
    if rising_edge(clk_i) then
212
      -- buffer status --
213
      tx_fifo_free_buf  <= tx_fifo_free;
214
      rx_fifo_avail_buf <= rx_fifo_avail;
215
      -- rising edge detector --
216
      irq_tx_o <= enable and or_reduce_f(tx_fifo_free  and (not tx_fifo_free_buf));
217
      irq_rx_o <= enable and or_reduce_f(rx_fifo_avail and (not rx_fifo_avail_buf));
218
    end if;
219
  end process irq_generator;
220
 
221
 
222
  -- Link Select ----------------------------------------------------------------------------
223
  -- -------------------------------------------------------------------------------------------
224
  link_select: process(addr)
225
  begin
226
    case addr(5 downto 2) is -- MSB = data fifo access at all?
227
      when "1000" => link_sel <= "00000001";
228
      when "1001" => link_sel <= "00000010";
229
      when "1010" => link_sel <= "00000100";
230
      when "1011" => link_sel <= "00001000";
231
      when "1100" => link_sel <= "00010000";
232
      when "1101" => link_sel <= "00100000";
233
      when "1110" => link_sel <= "01000000";
234
      when "1111" => link_sel <= "10000000";
235
      when others => link_sel <= "00000000";
236
    end case;
237
  end process link_select;
238
 
239
  fifo_access_gen:
240
  for i in 0 to 7 generate
241
    tx_fifo_we(i) <= link_sel(i) and acc_en and wren_i;
242
    rx_fifo_re(i) <= link_sel(i) and acc_en and rden_i;
243
  end generate;
244
 
245
 
246
  -- TX Link FIFOs --------------------------------------------------------------------------
247
  -- -------------------------------------------------------------------------------------------
248
  transmit_fifo_gen:
249
  for i in 0 to SLINK_NUM_TX-1 generate
250
    transmit_fifo_inst: neorv32_fifo
251
    generic map (
252
      FIFO_DEPTH => SLINK_TX_FIFO, -- number of fifo entries; has to be a power of two; min 1
253
      FIFO_WIDTH => 32,            -- size of data elements in fifo
254
      FIFO_RSYNC => false,         -- false = async read; true = sync read
255
      FIFO_SAFE  => true           -- true = allow read/write only if data available
256
    )
257
    port map (
258
      -- control --
259
      clk_i   => clk_i,             -- clock, rising edge
260
      rstn_i  => '1',               -- async reset, low-active
261
      clear_i => fifo_clear,        -- sync reset, high-active
262
      -- write port --
263
      wdata_i => data_i,            -- write data
264
      we_i    => tx_fifo_we(i),     -- write enable
265
      free_o  => tx_fifo_free(i),   -- at least one entry is free when set
266
      -- read port --
267
      re_i    => slink_tx_rdy_i(i), -- read enable
268
      rdata_o => slink_tx_dat_o(i), -- read data
269
      avail_o => slink_tx_val_o(i)  -- data available when set
270
    );
271
  end generate;
272
 
273
  -- terminate unimplemented links --
274
  transmit_fifo_gen_terminate:
275
  for i in SLINK_NUM_TX to 7 generate
276
    tx_fifo_free(i)   <= '0';
277
    slink_tx_dat_o(i) <= (others => '0');
278
    slink_tx_val_o(i) <= '0';
279
  end generate;
280
 
281
 
282
  -- RX Link FIFOs --------------------------------------------------------------------------
283
  -- -------------------------------------------------------------------------------------------
284
  receive_fifo_gen:
285
  for i in 0 to SLINK_NUM_RX-1 generate
286
    receive_fifo_inst: neorv32_fifo
287
    generic map (
288
      FIFO_DEPTH => SLINK_RX_FIFO, -- number of fifo entries; has to be a power of two; min 1
289
      FIFO_WIDTH => 32,            -- size of data elements in fifo
290
      FIFO_RSYNC => false,         -- false = async read; true = sync read
291
      FIFO_SAFE  => true           -- true = allow read/write only if data available
292
    )
293
    port map (
294
      -- control --
295
      clk_i   => clk_i,             -- clock, rising edge
296
      rstn_i  => '1',               -- async reset, low-active
297
      clear_i => fifo_clear,        -- sync reset, high-active
298
      -- write port --
299
      wdata_i => slink_rx_dat_i(i), -- write data
300
      we_i    => slink_rx_val_i(i), -- write enable
301
      free_o  => slink_rx_rdy_o(i), -- at least one entry is free when set
302
      -- read port --
303
      re_i    => rx_fifo_re(i),     -- read enable
304
      rdata_o => rx_fifo_rdata(i),  -- read data
305
      avail_o => rx_fifo_avail(i)   -- data available when set
306
    );
307
  end generate;
308
 
309
  -- terminate unimplemented links --
310
  receive_fifo_gen_terminate:
311
  for i in SLINK_NUM_RX to 7 generate
312
    rx_fifo_avail(i)  <= '0';
313
    slink_rx_rdy_o(i) <= '0';
314
    rx_fifo_rdata(i)  <= (others => '0');
315
  end generate;
316
 
317
 
318
end neorv32_slink_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.