OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_sysinfo.vhd] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 zero_gravi
-- #################################################################################################
2
-- # << NEORV32 - System/Processor Configuration Information Memory (SYSINFO) >>                   #
3
-- # ********************************************************************************************* #
4 18 zero_gravi
-- # This unit provides information regarding the 'processor system' configuration -               #
5
-- # mostly derived from the top's configuration generics.                                         #
6 12 zero_gravi
-- # ********************************************************************************************* #
7
-- # BSD 3-Clause License                                                                          #
8
-- #                                                                                               #
9
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
10
-- #                                                                                               #
11
-- # Redistribution and use in source and binary forms, with or without modification, are          #
12
-- # permitted provided that the following conditions are met:                                     #
13
-- #                                                                                               #
14
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
15
-- #    conditions and the following disclaimer.                                                   #
16
-- #                                                                                               #
17
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
18
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
19
-- #    provided with the distribution.                                                            #
20
-- #                                                                                               #
21
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
22
-- #    endorse or promote products derived from this software without specific prior written      #
23
-- #    permission.                                                                                #
24
-- #                                                                                               #
25
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
26
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
27
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
28
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
29
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
30
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
31
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
32
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
33
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
34
-- # ********************************************************************************************* #
35
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32              (c) Stephan Nolting #
36
-- #################################################################################################
37
 
38
library ieee;
39
use ieee.std_logic_1164.all;
40
use ieee.numeric_std.all;
41
 
42
library neorv32;
43
use neorv32.neorv32_package.all;
44
 
45
entity neorv32_sysinfo is
46
  generic (
47
    -- General --
48
    CLOCK_FREQUENCY   : natural := 0;      -- clock frequency of clk_i in Hz
49
    BOOTLOADER_USE    : boolean := true;   -- implement processor-internal bootloader?
50
    USER_CODE         : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
51 23 zero_gravi
    -- Internal Instruction memory --
52 12 zero_gravi
    MEM_INT_IMEM_USE  : boolean := true;   -- implement processor-internal instruction memory
53
    MEM_INT_IMEM_SIZE : natural := 8*1024; -- size of processor-internal instruction memory in bytes
54
    MEM_INT_IMEM_ROM  : boolean := false;  -- implement processor-internal instruction memory as ROM
55 23 zero_gravi
    -- Internal Data memory --
56 12 zero_gravi
    MEM_INT_DMEM_USE  : boolean := true;   -- implement processor-internal data memory
57
    MEM_INT_DMEM_SIZE : natural := 4*1024; -- size of processor-internal data memory in bytes
58 23 zero_gravi
    -- External memory interface --
59 12 zero_gravi
    MEM_EXT_USE       : boolean := false;  -- implement external memory bus interface?
60
    -- Processor peripherals --
61
    IO_GPIO_USE       : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
62
    IO_MTIME_USE      : boolean := true;   -- implement machine system timer (MTIME)?
63
    IO_UART_USE       : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
64
    IO_SPI_USE        : boolean := true;   -- implement serial peripheral interface (SPI)?
65
    IO_TWI_USE        : boolean := true;   -- implement two-wire interface (TWI)?
66
    IO_PWM_USE        : boolean := true;   -- implement pulse-width modulation unit (PWM)?
67
    IO_WDT_USE        : boolean := true;   -- implement watch dog timer (WDT)?
68
    IO_TRNG_USE       : boolean := true;   -- implement true random number generator (TRNG)?
69 34 zero_gravi
    IO_CFU0_USE       : boolean := true;   -- implement custom functions unit 0 (CFU0)?
70
    IO_CFU1_USE       : boolean := true    -- implement custom functions unit 1 (CFU1)?
71 12 zero_gravi
  );
72
  port (
73
    -- host access --
74
    clk_i  : in  std_ulogic; -- global clock line
75
    addr_i : in  std_ulogic_vector(31 downto 0); -- address
76
    rden_i : in  std_ulogic; -- read enable
77
    data_o : out std_ulogic_vector(31 downto 0); -- data out
78
    ack_o  : out std_ulogic  -- transfer acknowledge
79
  );
80
end neorv32_sysinfo;
81
 
82
architecture neorv32_sysinfo_rtl of neorv32_sysinfo is
83
 
84
  -- IO space: module base address --
85
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
86
  constant lo_abb_c : natural := index_size_f(sysinfo_size_c); -- low address boundary bit
87
 
88
  -- access control --
89
  signal acc_en    : std_ulogic; -- module access enable
90
  signal addr      : std_ulogic_vector(31 downto 0);
91
  signal rden      : std_ulogic;
92
  signal info_addr : std_ulogic_vector(02 downto 0);
93
 
94
  -- system information ROM --
95
  type info_mem_t is array (0 to 7) of std_ulogic_vector(31 downto 0);
96
  signal sysinfo_mem : info_mem_t;
97
 
98
begin
99
 
100
  -- Access Control -------------------------------------------------------------------------
101
  -- -------------------------------------------------------------------------------------------
102
  acc_en    <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = sysinfo_base_c(hi_abb_c downto lo_abb_c)) else '0';
103
  rden      <= acc_en and rden_i; -- valid read access
104
  addr      <= sysinfo_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
105
  info_addr <= addr(index_size_f(sysinfo_size_c)-1 downto 2);
106
 
107
 
108
  -- Construct Info ROM ---------------------------------------------------------------------
109
  -- -------------------------------------------------------------------------------------------
110
 
111
  -- SYSINFO(0): Processor (primary) clock frequency --
112
  sysinfo_mem(0) <= std_ulogic_vector(to_unsigned(CLOCK_FREQUENCY, 32));
113
 
114 23 zero_gravi
  -- SYSINFO(1): Custom user code/ID --
115 12 zero_gravi
  sysinfo_mem(1) <= USER_CODE;
116
 
117
  -- SYSINFO(2): Implemented processor devices/features --
118 23 zero_gravi
  -- Memory --
119 40 zero_gravi
  sysinfo_mem(2)(00) <= bool_to_ulogic_f(BOOTLOADER_USE);    -- processor-internal bootloader implemented?
120
  sysinfo_mem(2)(01) <= bool_to_ulogic_f(MEM_EXT_USE);       -- external memory bus interface implemented?
121
  sysinfo_mem(2)(02) <= bool_to_ulogic_f(MEM_INT_IMEM_USE);  -- processor-internal instruction memory implemented?
122
  sysinfo_mem(2)(03) <= bool_to_ulogic_f(MEM_INT_IMEM_ROM);  -- processor-internal instruction memory implemented as ROM?
123
  sysinfo_mem(2)(04) <= bool_to_ulogic_f(MEM_INT_DMEM_USE);  -- processor-internal data memory implemented?
124
  sysinfo_mem(2)(05) <= bool_to_ulogic_f(xbus_big_endian_c); -- is external memory bus interface using BIG-endian byte-order?
125 23 zero_gravi
  --
126 40 zero_gravi
  sysinfo_mem(2)(15 downto 06) <= (others => '0'); -- reserved
127 23 zero_gravi
  -- IO --
128 40 zero_gravi
  sysinfo_mem(2)(16) <= bool_to_ulogic_f(IO_GPIO_USE);  -- general purpose input/output port unit (GPIO) implemented?
129
  sysinfo_mem(2)(17) <= bool_to_ulogic_f(IO_MTIME_USE); -- machine system timer (MTIME) implemented?
130
  sysinfo_mem(2)(18) <= bool_to_ulogic_f(IO_UART_USE);  -- universal asynchronous receiver/transmitter (UART) implemented?
131
  sysinfo_mem(2)(19) <= bool_to_ulogic_f(IO_SPI_USE);   -- serial peripheral interface (SPI) implemented?
132
  sysinfo_mem(2)(20) <= bool_to_ulogic_f(IO_TWI_USE);   -- two-wire interface (TWI) implemented?
133
  sysinfo_mem(2)(21) <= bool_to_ulogic_f(IO_PWM_USE);   -- pulse-width modulation unit (PWM) implemented?
134
  sysinfo_mem(2)(22) <= bool_to_ulogic_f(IO_WDT_USE);   -- watch dog timer (WDT) implemented?
135
  sysinfo_mem(2)(23) <= bool_to_ulogic_f(IO_CFU0_USE);  -- custom functions unit 0 (CFU0) implemented?
136
  sysinfo_mem(2)(24) <= bool_to_ulogic_f(IO_TRNG_USE);  -- true random number generator (TRNG) implemented?
137
  sysinfo_mem(2)(25) <= bool_to_ulogic_f(IO_CFU1_USE);  -- custom functions unit 1 (CFU1) implemented?
138 23 zero_gravi
  --
139 34 zero_gravi
  sysinfo_mem(2)(31 downto 26) <= (others => '0'); -- reserved
140 12 zero_gravi
 
141
  -- SYSINFO(3): reserved --
142 23 zero_gravi
  sysinfo_mem(3) <= (others => '0'); -- reserved
143 12 zero_gravi
 
144
  -- SYSINFO(4): Base address of instruction memory space --
145 23 zero_gravi
  sysinfo_mem(4) <= ispace_base_c; -- defined in neorv32_package.vhd file
146 12 zero_gravi
 
147
  -- SYSINFO(5): Base address of data memory space --
148 23 zero_gravi
  sysinfo_mem(5) <= dspace_base_c; -- defined in neorv32_package.vhd file
149 12 zero_gravi
 
150 23 zero_gravi
  -- SYSINFO(6): Size of IMEM in bytes --
151
  sysinfo_mem(6) <= std_ulogic_vector(to_unsigned(MEM_INT_IMEM_SIZE, 32)) when (MEM_INT_IMEM_USE = true) else (others => '0');
152 12 zero_gravi
 
153 23 zero_gravi
  -- SYSINFO(7): Size of DMEM in bytes --
154
  sysinfo_mem(7) <= std_ulogic_vector(to_unsigned(MEM_INT_DMEM_SIZE, 32)) when (MEM_INT_DMEM_USE = true) else (others => '0');
155 12 zero_gravi
 
156
 
157
  -- Read Access ----------------------------------------------------------------------------
158
  -- -------------------------------------------------------------------------------------------
159
  read_access: process(clk_i)
160
  begin
161
    if rising_edge(clk_i) then
162 23 zero_gravi
      ack_o  <= rden;
163
      data_o <= (others => '0');
164 12 zero_gravi
      if (rden = '1') then
165
        data_o <= sysinfo_mem(to_integer(unsigned(info_addr)));
166
      end if;
167
    end if;
168
  end process read_access;
169
 
170
 
171
end neorv32_sysinfo_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.